虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL描述uart后整理的文档

用VHDL描述uart后整理的文档

  • 资源大小:54 K
  • 上传时间: 2013-12-23
  • 上传用户:rubyist
  • 资源积分:2 下载积分
  • 标      签: VHDL uart 文档

资 源 简 介

用VHDL描述uart后整理的文档,很全面,代码注释很详细

相 关 资 源

您 可 能 感 兴 趣 的