虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 本文件是实现任意整数分频的VHDL代码,愿与大家分享!

本文件是实现任意整数分频的VHDL代码,愿与大家分享!

  • 资源大小:2 K
  • 上传时间: 2015-08-23
  • 上传用户:shsy22
  • 资源积分:2 下载积分
  • 标      签: VHDL 整数 分频 代码

资 源 简 介

本文件是实现任意整数分频的VHDL代码,愿与大家分享!

相 关 资 源

您 可 能 感 兴 趣 的