虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 一个简单的多种信号的发生器 包括正玄

一个简单的多种信号的发生器 包括正玄

  • 资源大小:359 K
  • 上传时间: 2013-12-17
  • 上传用户:linux_open_lab
  • 资源积分:2 下载积分
  • 标      签: 信号 发生器

资 源 简 介

一个简单的多种信号的发生器 包括正玄,锯齿,阶梯等,使用时用quartus 4.0以上版本打开

相 关 资 源