虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 仿真技术 > 如何仿真IP核(建立modelsim仿真库完整解析)

如何仿真IP核(建立modelsim仿真库完整解析)

  • 资源大小:1176 K
  • 上传时间: 2013-11-02
  • 上传用户:zhangbiao2012
  • 资源积分:2 下载积分
  • 标      签: modelsim 仿真 IP核 仿真库

资 源 简 介

  IP核生成文件:(Xilinx/Altera 同)

  IP核生成器生成 ip 后有两个文件对我们比较有用,假设生成了一个 asyn_fifo 的核,则asyn_fifo.veo 给出了例化该核方式(或者在 Edit-》Language Template-》COREGEN 中找到verilog/VHDL 的例化方式)。asyn_fifo.v 是该核的行为模型,主要调用了 xilinx 行为模型库的模块,仿真时该文件也要加入工程。(在 ISE中点中该核,在对应的 processes 窗口中运行“ View Verilog Functional Model ”即可查看该 .v 文件)。如下图所示。

相 关 资 源