虫虫首页|资源下载|资源专辑|精品软件
登录|注册

systemverilog

systemverilog简称为SV语言,是一种相当新的语言,它建立在Verilog语言的基础上,是IEEE1364Verilog-2001标准的扩展增强,兼容Verilog2001,将硬件描述语言(HDL)与现代的高层级验证语言(HVL)结合了起来,并新近成为下一代硬件设计和验证的语言。