虫虫首页|资源下载|资源专辑|精品软件
登录|注册

instantiating

  • vhdl程序 Uncomment the following lines to use the declarations that are provided for instantiating Xi

    vhdl程序 Uncomment the following lines to use the declarations that are provided for instantiating Xilinx primitive components.

    标签: instantiating declarations Uncomment the

    上传时间: 2013-12-21

    上传用户:CHENKAI

  • lcd计数显示程序

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is     Port ( clk : in std_logic;      resetn : in std_logic;            dout : out std_logic_vector(7 downto 0);            lcd_en : out std_logic;            lcd_rs : out std_logic;            lcd_rw   : out std_logic); end counter;

    标签: lcd 计数显示 程序

    上传时间: 2013-10-30

    上传用户:wqxstar