虫虫首页|资源下载|资源专辑|精品软件
登录|注册

declaration

  • 每个C++/C程序通常分为两个文件。一个文件用于保存程序的声明(declaration

    每个C++/C程序通常分为两个文件。一个文件用于保存程序的声明(declaration),称为头文件。另一个文件用于保存程序的实现(implementation),称为定义(definition)文件。 C++/C程序的头文件以“.h”为后缀,C程序的定义文件以“.c”为后缀,C++程序的定义文件通常以“.cpp”为后缀(也有一些系统以“.cc”或“.cxx”为后缀)

    标签: declaration 程序

    上传时间: 2014-01-27

    上传用户:colinal

  • Three-input Majority Voter -- The entity declaration is followed by three alternative architectures

    Three-input Majority Voter -- The entity declaration is followed by three alternative architectures which achieve the same functionality in different ways.

    标签: architectures Three-input declaration alternative

    上传时间: 2013-12-26

    上传用户:liansi

  • java kvm simulation tools and open source code declaration in the SUN website,please check this on t

    java kvm simulation tools and open source code declaration in the SUN website,please check this on that

    标签: declaration simulation website please

    上传时间: 2013-12-16

    上传用户:离殇

  • Structure declaration and use in C programming

    Structure declaration and use in C programming

    标签: declaration programming Structure and

    上传时间: 2013-12-16

    上传用户:lyy1234

  • lcd计数显示程序

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is     Port ( clk : in std_logic;      resetn : in std_logic;            dout : out std_logic_vector(7 downto 0);            lcd_en : out std_logic;            lcd_rs : out std_logic;            lcd_rw   : out std_logic); end counter;

    标签: lcd 计数显示 程序

    上传时间: 2013-10-30

    上传用户:wqxstar

  • CHAPT04CHAPT04.CPP 16-Bit test program for the Digi Classic board CHAPT04CHAPT04.EXE 16-Bit executa

    CHAPT04\CHAPT04.CPP 16-Bit test program for the Digi Classic board CHAPT04\CHAPT04.EXE 16-Bit executable of the test program CHAPT04\CHAPT04.MAK A makefile for Borland or Microsoft C++ CHAPT04\CLASSIC.CPP Implementation of the ClassicHandler class CHAPT04\CLASSIC.H declaration of the ClassicHandler class

    标签: CHAPT 04 Bit program

    上传时间: 2013-11-29

    上传用户:ahljj

  • CHAPT05CHAPT05.CPP 16-Bit test program for the initelligent Digi board. CHAPT05CHAPT05.EXE 16-Bit e

    CHAPT05\CHAPT05.CPP 16-Bit test program for the initelligent Digi board. CHAPT05\CHAPT05.EXE 16-Bit executable of the test program CHAPT05\CHAPT05.MAK A makefile for Borland or Microsoft C++ CHAPT05\DIGI.CPP Implementation of the Digiboard class CHAPT05\DIGI.H declaration of the Digiboard cla

    标签: CHAPT 05 initelligent Bit

    上传时间: 2016-02-02

    上传用户:aappkkee

  • CHAPT06CHAPT06.CPP 16-Bit test program for the BiosPort class CHAPT06CHAPT06.EXE 16-Bit executable

    CHAPT06\CHAPT06.CPP 16-Bit test program for the BiosPort class CHAPT06\CHAPT06.EXE 16-Bit executable of the test program CHAPT06\CHAPT06.MAK A makefile for Borland or Microsoft C++ CHAPT06\BIOSPORT.CPP Implementation of the BiosPort class CHAPT06\BIOSPORT.H declaration of the BiosPort cla

    标签: CHAPT 06 executable Bit

    上传时间: 2016-02-02

    上传用户:wpwpwlxwlx

  • 高质量C++和C编程指南资料说明

    高质量C++和C编程指南资料说明[摘要]每个 C++/C 程序通常分为两个文件。一个文件用于保存程序的声明(declaration),称为头文件。另一个文件用于保存程序的实现(implementaTIon),称为定义(definiTIon)文件。 C++/C 程序的头文件以“.h”为后缀,C 程序的定义文件以“.c”为后缀,C++程序的定义文件通常以“.cpp”为后缀(也有一些系统以“.cc”或“.cxx”为后缀)。 自从计算机问世以来,程序设计就成了令人羡慕的职业,程序员在受人宠爱之后容易发展成为毛病特多却常能自我臭美的群体。如今在 Internet 上流传的“真正”的程序员据说是这样的: (1) 真正的程序员没有进度表,只有讨好领导的马屁精才有进度表,真正的程序员会让领导提心吊胆。 (2) 真正的程序员不写使用说明书,用户应当自己去猜想程序的功能。 (3) 真正的程序员几乎不写代码的注释,如果注释很难写,它理所当然也很难读。 (4) 真正的程序员不画流程图,原始人和文盲才会干这事。 (5) 真正的程序员不看参考手册,新手和胆小鬼才会看。 (6) 真正的程序员不写文档也不需要文档,只有看不懂程序的笨蛋才用文档。 (7) 真正的程序员认为自己比用户更明白用户需要什么。 (8) 真正的程序员不接受团队开发的理念,除非他自己是头头。 (9) 真正的程序员的程序不会在第一次就正确运行,但是他们愿意守着机器进行若干个 30 小时的调试改错。 (10)真正的程序员不会在上午 9:00 到下午 5:00 之间工作,如果你看到他在上午 9:00 工作,这表明他从昨晚一直干到现在。 …… 具备上述特征越多,越显得水平高,资格老。所以别奇怪,程序员的很多缺点竟然可以被当作优点来欣赏。就象在武侠小说中,那些独来独往、不受约束且带点邪气的高手最令人崇拜。我曾经也这样信奉,并且希望自己成为那样的“真正”的程序员,结果没有得到好下场。

    标签: C++ C语言

    上传时间: 2021-10-25

    上传用户:wwa875

  • verilog实现I2C通信的slave模块源码状态机设位计可做I2C接口的仿真模型

    verilog实现I2C通信的slave模块源码状态机设位计可做I2C接口的仿真模型//`timescale 1ns/1psmodule I2C_slv (input [6:0] slv_id,input       RESET,input       scl_i,      //I2C clkinput       sda_i,      //I2C data ininput [7:0] I2C_RDDATA,////////////////////////output reg       sda_o,     //I2C data outoutput reg       reg_w,     //reg write enable pulse (1T of scl_i)output reg [7:0] I2C_ADDR,output reg [7:0] I2C_DATA);  parameter ST_ADDR    = 4'd0;  parameter ST_ACK     = 4'd1;  parameter ST_WDATA1  = 4'd2;  parameter ST_WACK1   = 4'd3;  parameter ST_WDATA2  = 4'd4;  parameter ST_WACK2   = 4'd5;  parameter ST_WDATA3  = 4'd6;  parameter ST_WACK3   = 4'd7;  parameter ST_RDATA1  = 4'd8;  parameter ST_RACK1   = 4'd9;  parameter ST_IDLE    = 4'd15;//---------------------------------------------------------------------------// Signal declaration//---------------------------------------------------------------------------  reg        i2c_start_n, i2c_stop_n;  //wire       RESET_scl;  wire       i2c_stp_n, i2c_RESET;  reg [3:0]  i2c_cs, i2c_ns;  reg [3:0]  cnt_bit;  reg [7:0]  d_vec;  reg        i2c_rd, i2c_ack;  reg [7:0]  I2C_RDDATA_latch;

    标签: verilog i2c 通信 slave

    上传时间: 2022-02-03

    上传用户:zhengtiantong