虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

Dual-Port

  • lcd计数显示程序

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is     Port ( clk : in std_logic;      resetn : in std_logic;            dout : out std_logic_vector(7 downto 0);            lcd_en : out std_logic;            lcd_rs : out std_logic;            lcd_rw   : out std_logic); end counter;

    标签: lcd 计数显示 程序

    上传时间: 2013-10-30

    上传用户:wqxstar

  • MAXQUSBJTAGOW评估板软件

    MAXQUSBJTAGOW评估板软件:关键特性 Easily Load and Debug Code Interface Provides In-Application Debugging Features Step-by-Step Execution Tracing Breakpointing by Code Address, Data Memory Address, or Register Access Data Memory View and Edit Supports Logic Levels from 1.1V to 3.6V Supports JTAG and 1-Wire Protocols Each Adapter Has Its Own Unique Serial ID, Allowing Multiple Adapters to be Connected Without COM Port Conflicts Has In-Field Upgradable Capability if Firmware Needs to be Upgraded Enclosure Protects from Shorts and ESD

    标签: MAXQUSBJTAGOW 评估板 软件

    上传时间: 2013-10-24

    上传用户:teddysha

  • Virtual Serial Port Driver 6.9(虚拟串口)

    虚拟串口软件

    标签: Virtual Serial Driver Port

    上传时间: 2013-10-27

    上传用户:1234321@q

  • 并口示波器小软件Port 1.0下载

       Port1.0 使用说明 Port1.0是作者本人在进行电子制作和维修过程中萌发的一个思路。在电子制作、维修中,经常要用到多路的脉冲信号或是要测量多路的脉冲信号。本软件可通过微机并口向用户提供多达12路的标准TTL脉冲信号,同时可进行5路的标准TTL脉冲信号的波形显示。 软件的使用方法极为简单。输出信号时,只要选中或取消引脚号,就能在相应的引脚得到相应的脉冲信号(统一为选中为高电平,取消为低电平),“清零”按钮为对应该组的所有信号清零。 输入信号的波形显示,按“开始”按钮为开始进行显示,“停止”为暂停。 在设置面板中,“数据读入时间间隔”为读入时间的设定。“并行打印端口设置”为显示微机中存在的可用打印端口,并可以设定本软件当前要使用的端口(如只有一个可用端口,就为缺省端口,如有多个可用端口软件自动选择最后一个可用端口为当前使用端口)。 本软件的输入波形显示没有运用VXD等的技术支持,在速度上不能做到高频的实时性,只能用在低速的环境下。这个版本没有提供多数据的连续输出。这些问题在下一个版本中得到改进和支持。 本软件可使用在微机的打印适配器、打印机等各种的并口设备检修中,还可用在各种数字电路、单片机的制作和维修中。在下一版本在这方面会有更大的支持。 * 注意:只支持win9x * 注意:并口的输入/输出电平为0-5伏TTL,不能连接高电压高电流的电路,以免埙坏主板或打印适配器。要连接COMS的0-12伏时请用户自做转换电路再连接。 * 注意:在使用本软件时最好不要同时使用打印机之类的并口设备。如本程序已运行请先关闭,再使用并口设备。 

    标签: Port 1.0 并口

    上传时间: 2014-04-18

    上传用户:paladin

  • MAXQUSBJTAGOW评估板软件

    MAXQUSBJTAGOW评估板软件:关键特性 Easily Load and Debug Code Interface Provides In-Application Debugging Features Step-by-Step Execution Tracing Breakpointing by Code Address, Data Memory Address, or Register Access Data Memory View and Edit Supports Logic Levels from 1.1V to 3.6V Supports JTAG and 1-Wire Protocols Each Adapter Has Its Own Unique Serial ID, Allowing Multiple Adapters to be Connected Without COM Port Conflicts Has In-Field Upgradable Capability if Firmware Needs to be Upgraded Enclosure Protects from Shorts and ESD

    标签: MAXQUSBJTAGOW 评估板 软件

    上传时间: 2013-11-23

    上传用户:truth12

  • Virtual Serial Port Driver 6.9(虚拟串口)

    虚拟串口软件

    标签: Virtual Serial Driver Port

    上传时间: 2013-10-23

    上传用户:JIUSHICHEN

  • WP328-FPGA的语音数据融合

      The SDI standards are the predominant standards for uncompressed digital videointerfaces in the broadcast studio and video production center. The first SDI standard,SD-SDI, allowed standard-definition digital video to be transported over the coaxial cableinfrastructure initially installed in studios to carry analog video. Next, HD-SDI wasto support high-definition video. Finally, dual link HD-SDI and 3G-SDIdoubled the bandwidth of HD-SDI to support 1080p (50 Hz and 60 Hz) and other videoformats requiring more bandwidth than HD-SDI provides.

    标签: FPGA 328 WP 语音

    上传时间: 2013-12-08

    上传用户:liansi

  • WP369可扩展式处理平台-各种嵌入式系统的理想解决方案

    WP369可扩展式处理平台-各种嵌入式系统的理想解决方案 :Delivering unrivaled levels of system performance,flexibility, scalability, and integration to developers,Xilinx's architecture for a new Extensible Processing Platform is optimized for system power, cost, and size. Based on ARM's dual-core Cortex™-A9 MPCore processors and Xilinx’s 28 nm programmable logic,the Extensible Processing Platform takes a processor-centric approach by defining a comprehensive processor system implemented with standard design methods. This approach provides Software Developers a familiar programming environment within an optimized, full featured,powerful, yet low-cost, low-power processing platform.

    标签: 369 WP 扩展式 处理平台

    上传时间: 2013-10-18

    上传用户:cursor

  • 各种功能的计数器实例(VHDL源代码)

    各种功能的计数器实例(VHDL源代码):ENTITY counters IS  PORT  (   d  : IN  INTEGER RANGE 0 TO 255;   clk  : IN BIT;   clear : IN BIT;   ld  : IN BIT;   enable : IN BIT;   up_down : IN BIT;   qa  : OUT  INTEGER RANGE 0 TO 255;   qb  : OUT  INTEGER RANGE 0 TO 255;   qc  : OUT  INTEGER RANGE 0 TO 255;   qd  : OUT  INTEGER RANGE 0 TO 255;   qe  : OUT  INTEGER RANGE 0 TO 255;   qf  : OUT  INTEGER RANGE 0 TO 255;   qg  : OUT  INTEGER RANGE 0 TO 255;   qh  : OUT  INTEGER RANGE 0 TO 255;   qi  : OUT  INTEGER RANGE 0 TO 255;

    标签: VHDL 计数器 源代码

    上传时间: 2013-10-09

    上传用户:松毓336

  • 华硕内部的PCB基本规范

    PCB LAYOUT 基本規範項次 項目 備註1 一般PCB 過板方向定義:􀀹 PCB 在SMT 生產方向為短邊過迴焊爐(Reflow), PCB 長邊為SMT 輸送帶夾持邊.􀀹 PCB 在DIP 生產方向為I/O Port 朝前過波焊爐(Wave Solder), PCB 與I/O 垂直的兩邊為DIP 輸送帶夾持邊.1.1 金手指過板方向定義:􀀹 SMT: 金手指邊與SMT 輸送帶夾持邊垂直.􀀹 DIP: 金手指邊與DIP 輸送帶夾持邊一致.2 􀀹 SMD 零件文字框外緣距SMT 輸送帶夾持邊L1 需≧150 mil.􀀹 SMD 及DIP 零件文字框外緣距板邊L2 需≧100 mil.3 PCB I/O port 板邊的螺絲孔(精靈孔)PAD 至PCB 板邊, 不得有SMD 或DIP 零件(如右圖黃色區).PAD

    标签: PCB 华硕

    上传时间: 2013-11-06

    上传用户:yyq123456789