代码搜索结果

找到约 10,970 项符合 VHDL 的代码

vhdl源代码.txt

以下为正弦波的VHDL语言编程源程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity sin4 is port(clk4:in std_logic; dd4:out integer range 255

bcd_7seg_sch.prj

vhdl work bcd_7seg.vhd vhdl work bcd_7seg_sch.vhf

testcnt.prj

vhdl work F:/PUSHPA/cpld_trainer/softwares/UPDOWNCNT_C/testcnt.vhd

divd10.prj

vhdl work F:/PUSHPA/cpld_trainer/softwares/UPDOWNCNT_C/divd10.vhd

bcd_cntr.prj

vhdl work dvd100k.vhd vhdl work bcd_cntr.vhd

alu_4bit.prj

vhdl work testcnt.vhd vhdl work dflipflop.vhd vhdl work invtr.vhd vhdl work ../../GIRIJA/ALTERApgms/alu_4bit/buff.vhd vhdl work dflip.vhd vhdl work fulladder.vhd vhdl work fbitaddr.vhd vhdl wor

alu_2bit.prj

vhdl work testcnt.vhd vhdl work dflipflop.vhd vhdl work invtr.vhd vhdl work buff.vhd vhdl work dflip.vhd vhdl work fulladder.vhd vhdl work fbitaddr.vhd vhdl work alu_2bit.vhd