⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 rca_8bit.vhd

📁 This is 8bit multiplier VHDL code. It s consist of full adder, ripple carry adder(4bit, 8bit) multip
💻 VHD
字号:
library IEEE;                                                            
use IEEE.STD_LOGIC_1164.ALL;                                             
use IEEE.STD_LOGIC_ARITH.ALL;                                            
use IEEE.STD_LOGIC_UNSIGNED.ALL;                                         
                                                                         
                                   
                                                                         
entity RCA_8bit is                                                       
Port ( a, b : in std_logic_vector(7 downto 0);                           
      c : in std_logic;                                                  
    sum :  out std_logic_vector(7 downto 0);                             
    carry_out : out std_logic);                                          
end RCA_8bit;                                                            
                                                                         
architecture Behavioral of RCA_8bit is                                   
                                                                         
component RCA_4bit                                                       
Port ( a, b : in std_logic_vector(3 downto 0);                           
      c : in std_logic;                                                  
    sum :  out std_logic_vector(3 downto 0);                             
    carry_out : out std_logic);                                          
end component;                                                           
                                                                         
signal temp_cin : std_logic;                                             
                                                                         
begin                                                                                                                                                                             

U0 : RCA_4bit port                                                       
map (a => a(3 downto 0), b => b(3 downto 0), c => c, sum => sum(3 downto 0),carry_out=>temp_cin);
                                                                                                                  
U1 : RCA_4bit port                                                       
map (a => a(7 downto 4), b => b(7 downto 4), c=>temp_cin, sum => sum(7 downto 4), carry_out=>carry_out);                                                                               
                                                                         
end Behavioral;                                                          
                                          

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -