📄 clkdiv.vhd
字号:
entity Ad_Clk_Div is port( Div_Fac : in unsigned(3 downto 0);-- Division Factor Clk : in std_logic; -- Global Clk Reset : in std_logic; -- Global Reset DClk : out std_logic -- Divided Clk Out ); end entity Ad_Clk_Div; architecture Ad_Clk_Div_Arch of Ad_Clk_Div is signal count : unsigned(3 downto 0); begin -- Sequential Process -- Programmable Load counter and Toggle FiFo process(Clk,Reset) begin if(Reset = '0')thencount <= (others => '0'); DClk <= '0'; elsif(RISING_EDGE(Clk))then if(count = Div_Fac)then count <= (others => '0'); DClk <= not DClk ; else count <= count + 1 ; end if; end if; end process; end architecture Ad_Clk_Div_Arch;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -