📄 count.vhd
字号:
library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity counter is port( clkIN : in std_logic; count : out std_logic_vector(9 downto 0));end counter;architecture Behavioral of counter isbegin process(clkIN) variable ccount : integer range 0 to 1024; variable count1 : integer range 0 to 1024; begin if clkIN'event and clkIN = '1' then ccount := ccount +1; if ccount = 1024 then ccount := 0; end if;-- ccount2 <= ccount; count1 := ccount; end if; count <= conv_std_logic_vector(count1, 10); end process; end Behavioral;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -