📄 fadd4.vhd
字号:
------------------------------------------------------------------------------------ Company: -- Engineer: -- -- Create Date: 21:55:44 11/09/2006 -- Design Name: -- Module Name: fadd4 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: ---- Dependencies: ---- Revision: -- Revision 0.01 - File Created--fadd4.vhd 4-bit ripple-carry adderlibrary ieee ;use ieee.std_logic_1164.all;--use work.components.all;entity fadd4 isport( a : in std_logic_vector(3 downto 0);--??? b : in std_logic_vector(3 downto 0);--?? ci : in std_logic;--???? co : out std_logic;--???? sum : out std_logic_vector(3 downto 0));--?end fadd4;architecture behavior of fadd4 is
component fadd isport( a: in std_logic; b: in std_logic; ci : in std_logic; co: out std_logic; sum : out std_logic);end component; signal ci_ns : std_logic_vector(2 downto 0);--ci?co???begin u0: fadd port map (a(0),b(0),ci,ci_ns(0),sum(0)); u1: fadd port map (a(1),b(1),ci_ns(0),ci_ns(1),sum(1)); u2: fadd port map (a(2),b(2),ci_ns(1),ci_ns(2),sum(2)); u3: fadd port map (a(3),b(3),ci_ns(2),co,sum(3));end behavior;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -