虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 数学计算 > 用VHDL实现简单计算器

用VHDL实现简单计算器

  • 资源大小:1534 K
  • 上传时间: 2015-04-29
  • 上传用户:toutoududu
  • 资源积分:2 下载积分
  • 标      签: VHDL 计算器

资 源 简 介

用VHDL实现简单的加减乘除运算

程序下到板子上后,result为你一开始输入的值(会在数码管上显示),如需改变第一个数,则用拨码开关输入这个数后,按复位键后result就等于这个数了,
然后用拨码开关输入下一个运算数,按下加减乘运算键,就会算出结果并在数码管上显示,然后再输入下一个数,输入按键,如此反复,实现连乘
按下复位键后,会回到刚上电时的状态。
加=>BTN7
减=>BTN6
乘=>BTN5
等于=>BTN3(实际上没什么作用)
复位=>BTN2


相 关 资 源

您 可 能 感 兴 趣 的