📄 mst_assign_tb_selfcheck_beh.v
字号:
////////////////////////////////////////////////////////////////////////////////// Copyright (c) 1995-2003 Xilinx, Inc.// All Right Reserved.////////////////////////////////////////////////////////////////////////////////// ____ ____ // / /\/ / // /___/ \ / Vendor: Xilinx // \ \ \/ Version : 9.1.03i// \ \ Application : ISE// / / Filename : mst_assign_tb_selfcheck.tfw// /___/ /\ Timestamp : Wed Mar 12 16:55:09 2008// \ \ / \ // \___\/\___\ ////Command: //Design Name: mst_assign_tb_selfcheck_beh//Device: Xilinx//`timescale 1ns/1psmodule mst_assign_tb_selfcheck_beh; reg clk_125 = 1'b0; reg en = 1'b0; reg resetn = 1'b0; reg [7:0] mfi_2 = 8'b00000000; wire [31:0] mst_num; parameter PERIOD = 200; parameter real DUTY_CYCLE = 0.5; parameter OFFSET = 100; initial // Clock process for clk_125 begin #OFFSET; forever begin clk_125 = 1'b0; #(PERIOD-(PERIOD*DUTY_CYCLE)) clk_125 = 1'b1; #(PERIOD*DUTY_CYCLE); end end MST_assign UUT ( .clk_125(clk_125), .en(en), .resetn(resetn), .mfi_2(mfi_2), .mst_num(mst_num)); integer TX_ERROR = 0; initial begin // Open the results file... #50200 // Final time: 50200 ns if (TX_ERROR == 0) begin $display("No errors or warnings."); end else begin $display("%d errors found in simulation.", TX_ERROR); end $stop; end initial begin // ------------- Current Time: 215ns #215; CHECK_mst_num(32'b00000000000000000000000000000000); // ------------------------------------- // ------------- Current Time: 385ns #170; resetn = 1'b1; // ------------------------------------- // ------------- Current Time: 585ns #200; en = 1'b1; // ------------------------------------- // ------------- Current Time: 615ns #30; CHECK_mst_num(32'b00000000000000000000000000000001); // ------------------------------------- // ------------- Current Time: 785ns #170; mfi_2 = 8'b00000001; // ------------------------------------- // ------------- Current Time: 815ns #30; CHECK_mst_num(32'b00000000000000000000000000000010); // ------------------------------------- // ------------- Current Time: 985ns #170; mfi_2 = 8'b00000010; // ------------------------------------- // ------------- Current Time: 1015ns #30; CHECK_mst_num(32'b00000000000000000000000000000100); // ------------------------------------- // ------------- Current Time: 1185ns #170; mfi_2 = 8'b00000011; // ------------------------------------- // ------------- Current Time: 1215ns #30; CHECK_mst_num(32'b00000000000000000000000000001000); // ------------------------------------- // ------------- Current Time: 1385ns #170; mfi_2 = 8'b00000100; // ------------------------------------- // ------------- Current Time: 1415ns #30; CHECK_mst_num(32'b00000000000000000000000000010000); // ------------------------------------- // ------------- Current Time: 1585ns #170; mfi_2 = 8'b00000101; // ------------------------------------- // ------------- Current Time: 1615ns #30; CHECK_mst_num(32'b00000000000000000000000000100000); // ------------------------------------- // ------------- Current Time: 1785ns #170; mfi_2 = 8'b00000110; // ------------------------------------- // ------------- Current Time: 1815ns #30; CHECK_mst_num(32'b00000000000000000000000001000000); // ------------------------------------- // ------------- Current Time: 1985ns #170; mfi_2 = 8'b00000111; // ------------------------------------- // ------------- Current Time: 2015ns #30; CHECK_mst_num(32'b00000000000000000000000010000000); // ------------------------------------- // ------------- Current Time: 2185ns #170; mfi_2 = 8'b00001000; // ------------------------------------- // ------------- Current Time: 2215ns #30; CHECK_mst_num(32'b00000000000000000000000100000000); // ------------------------------------- // ------------- Current Time: 2385ns #170; mfi_2 = 8'b00001001; // ------------------------------------- // ------------- Current Time: 2415ns #30; CHECK_mst_num(32'b00000000000000000000001000000000); // ------------------------------------- // ------------- Current Time: 2585ns #170; mfi_2 = 8'b00001010; // ------------------------------------- // ------------- Current Time: 2615ns #30; CHECK_mst_num(32'b00000000000000000000010000000000); // ------------------------------------- // ------------- Current Time: 2785ns #170; mfi_2 = 8'b00001011; // ------------------------------------- // ------------- Current Time: 2815ns #30; CHECK_mst_num(32'b00000000000000000000100000000000); // ------------------------------------- // ------------- Current Time: 2985ns #170; mfi_2 = 8'b00001100; // ------------------------------------- // ------------- Current Time: 3015ns #30; CHECK_mst_num(32'b00000000000000000001000000000000); // ------------------------------------- // ------------- Current Time: 3185ns #170; mfi_2 = 8'b00001101; // ------------------------------------- // ------------- Current Time: 3215ns #30; CHECK_mst_num(32'b00000000000000000010000000000000); // ------------------------------------- // ------------- Current Time: 3385ns #170; mfi_2 = 8'b00001110; // ------------------------------------- // ------------- Current Time: 3415ns #30; CHECK_mst_num(32'b00000000000000000100000000000000); // ------------------------------------- // ------------- Current Time: 3585ns #170; mfi_2 = 8'b00001111; // ------------------------------------- // ------------- Current Time: 3615ns #30; CHECK_mst_num(32'b00000000000000001000000000000000); // ------------------------------------- // ------------- Current Time: 3785ns #170; mfi_2 = 8'b00010000; // ------------------------------------- // ------------- Current Time: 3815ns #30; CHECK_mst_num(32'b00000000000000010000000000000000); // ------------------------------------- // ------------- Current Time: 3985ns #170; mfi_2 = 8'b00010001; // ------------------------------------- // ------------- Current Time: 4015ns #30; CHECK_mst_num(32'b00000000000000100000000000000000); // ------------------------------------- // ------------- Current Time: 4185ns #170; mfi_2 = 8'b00010010; // ------------------------------------- // ------------- Current Time: 4215ns #30; CHECK_mst_num(32'b00000000000001000000000000000000); // ------------------------------------- // ------------- Current Time: 4385ns #170; mfi_2 = 8'b00010011; // ------------------------------------- // ------------- Current Time: 4415ns #30; CHECK_mst_num(32'b00000000000010000000000000000000); // ------------------------------------- // ------------- Current Time: 4585ns #170; mfi_2 = 8'b00010100; // ------------------------------------- // ------------- Current Time: 4615ns #30; CHECK_mst_num(32'b00000000000100000000000000000000); // ------------------------------------- // ------------- Current Time: 4785ns #170; mfi_2 = 8'b00010101; // ------------------------------------- // ------------- Current Time: 4815ns #30; CHECK_mst_num(32'b00000000001000000000000000000000); // ------------------------------------- // ------------- Current Time: 4985ns #170; mfi_2 = 8'b00010110; // ------------------------------------- // ------------- Current Time: 5015ns #30; CHECK_mst_num(32'b00000000010000000000000000000000); // ------------------------------------- // ------------- Current Time: 5185ns #170; mfi_2 = 8'b00010111; // ------------------------------------- // ------------- Current Time: 5215ns #30; CHECK_mst_num(32'b00000000100000000000000000000000); // ------------------------------------- // ------------- Current Time: 5385ns #170; mfi_2 = 8'b00011000; // ------------------------------------- // ------------- Current Time: 5415ns #30; CHECK_mst_num(32'b00000001000000000000000000000000); // ------------------------------------- // ------------- Current Time: 5585ns #170; mfi_2 = 8'b00011001; // ------------------------------------- // ------------- Current Time: 5615ns #30; CHECK_mst_num(32'b00000010000000000000000000000000); // ------------------------------------- // ------------- Current Time: 5785ns #170; mfi_2 = 8'b00011010; // ------------------------------------- // ------------- Current Time: 5815ns #30; CHECK_mst_num(32'b00000100000000000000000000000000); // ------------------------------------- // ------------- Current Time: 5985ns #170; mfi_2 = 8'b00011011; // ------------------------------------- // ------------- Current Time: 6015ns #30; CHECK_mst_num(32'b00001000000000000000000000000000); // ------------------------------------- // ------------- Current Time: 6185ns #170; mfi_2 = 8'b00011100; // ------------------------------------- // ------------- Current Time: 6215ns #30; CHECK_mst_num(32'b00010000000000000000000000000000); // ------------------------------------- // ------------- Current Time: 6385ns #170; mfi_2 = 8'b00011101; // ------------------------------------- // ------------- Current Time: 6415ns #30; CHECK_mst_num(32'b00100000000000000000000000000000); // ------------------------------------- // ------------- Current Time: 6585ns #170; mfi_2 = 8'b00011110; // ------------------------------------- // ------------- Current Time: 6615ns #30; CHECK_mst_num(32'b01000000000000000000000000000000); // ------------------------------------- // ------------- Current Time: 6785ns #170; mfi_2 = 8'b00011111; // ------------------------------------- // ------------- Current Time: 6815ns #30; CHECK_mst_num(32'b10000000000000000000000000000000); // ------------------------------------- // ------------- Current Time: 6985ns #170; mfi_2 = 8'b00100000; // ------------------------------------- // ------------- Current Time: 7015ns #30; CHECK_mst_num(32'b00000000000000000000000000000001); // ------------------------------------- // ------------- Current Time: 7185ns #170; mfi_2 = 8'b00100001; // ------------------------------------- // ------------- Current Time: 7215ns #30; CHECK_mst_num(32'b00000000000000000000000000000010);
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -