⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 k4mux.vhd

📁 Fire&password数字系统实验
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;

entity k4mux is
        port (A,B   : in std_logic;
              C,D,E,F  : out std_logic);
end k4mux;

architecture k4mux_arc of k4mux is
begin
     process(A,B)
     begin
         if(A='0'and B='0')then
             C<='0';D<='0';E<='1';F<='0';
         elsif(A='1'and B='0')then
             C<='1';D<='0';E<='0';F<='0';
         elsif(A='0'and B='1')then
             C<='1';D<='0';E<='0';F<='0';
         else
             C<='1';D<='1';E<='1';F<='1';
         end if;
      end process;
end k4mux_arc;


⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -