⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 hex2led.vhd

📁 用ISE中各种工具设计“运动计时表”.加深对FPGA/CPLD设计流程的理解
💻 VHD
字号:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

--  Uncomment the following lines to use the declarations that are
--  provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;

entity hex2led is
    Port ( HEX : in std_logic_vector(3 downto 0);
           LED : out std_logic_vector(6 downto 0));
end hex2led;

architecture Behavioral of hex2led is

begin


   --HEX-to-seven-segment decoder--   HEX:   in    STD_LOGIC_VECTOR (3 downto 0);--   LED:   out   STD_LOGIC_VECTOR (6 downto 0);-- -- segment encoding--      0--     ---  --  5 |   | 1--     ---   <- 6--  4 |   | 2--     -----      3       with HEX SELect   LED<= "1111001" when "0001",   --1         "0100100" when "0010",   --2         "0110000" when "0011",   --3         "0011001" when "0100",   --4         "0010010" when "0101",   --5         "0000010" when "0110",   --6         "1111000" when "0111",   --7         "0000000" when "1000",   --8         "0010000" when "1001",   --9         "0001000" when "1010",   --A         "0000011" when "1011",   --b         "1000110" when "1100",   --C         "0100001" when "1101",   --d         "0000110" when "1110",   --E         "0001110" when "1111",   --F         "1000000" when others;   --0 


end Behavioral;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -