📄 test.v
字号:
`timescale 1ns/100ps
module top();
reg clk ;
reg rstn ;
initial
begin
clk = 0 ;
rstn = 0 ;
#1000 ;
rstn = 1 ;
#10000000;
$finish ;
end
always #5 clk = !clk;
clk_gen clk_gen (.clk(clk), .rstn(rstn), .clk_o(clk_1));
clk_div clk_div (.clk(clk), .rstn(rstn), .clk_o(clk_2));
initial
begin
$fsdbDumpvars(0, top);
$fsdbDumpfile("top.fsdb");
end
endmodule
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -