⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 mc8051_datamux_rtl.vhd

📁 8051 MCU在nois平台上的实现代码(VHDL)
💻 VHD
字号:
architecture rtl of mc8051_datamux is  signal s_select     : std_logic;  signal s_rom_data   : std_logic_vector(7 downto 0);   signal s_pram_data  : std_logic_vector(7 downto 0);   signal s_prog_data  : std_logic_vector(7 downto 0); begin  -- rtl  s_rom_data <= rom_data_i;  s_pram_data <= pram_data_i;  s_select <= select_i;  prog_data_o <= s_prog_data;    p_mux: process (clk) --s_select, s_rom_data, s_pram_data)    begin     if (clk='0' and clk'event) then       if (s_select = '1') then         s_prog_data <= s_rom_data;       else         s_prog_data <= s_pram_data;       end if;     end if;    end process p_mux;  --  s_prog_data <= s_rom_data when (s_select = '1') else s_pram_data;   end rtl;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -