⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 usb_new_vsc9_ram_lfsr_c0.vhd

📁 实现USB接口功能的VHDL和verilog完整源代码
💻 VHD
📖 第 1 页 / 共 3 页
字号:
                zn  => n000138);

   u000090: mi21d1
      port map (i0  => n000098,
                i1  => n000132,
                s   => n000266,
                zn  => n000133);

   u000091: mi21d1
      port map (i0  => n000099,
                i1  => n000127,
                s   => n000266,
                zn  => n000128);

   u000092: mi21d1
      port map (i0  => n000100,
                i1  => n000122,
                s   => n000266,
                zn  => n000123);

   u000093: mi21d1
      port map (i0  => n000101,
                i1  => n000117,
                s   => n000266,
                zn  => n000118);

   u000094: mi21d1
      port map (i0  => n000102,
                i1  => n000112,
                s   => n000266,
                zn  => n000113);

   u000095: mi21d1
      port map (i0  => n000103,
                i1  => n000107,
                s   => n000266,
                zn  => n000108);

   u000096: mx21d1
      port map (i0  => n000260,
                i1  => n000039,
                s   => n000263,
                z   => n000259);

   u000097: mx21d1
      port map (i0  => n000256,
                i1  => n000040,
                s   => n000263,
                z   => n000255);

   u000098: mx21d1
      port map (i0  => n000251,
                i1  => n000041,
                s   => n000263,
                z   => n000250);

   u000099: mx21d1
      port map (i0  => n000246,
                i1  => n000042,
                s   => n000263,
                z   => n000245);

   u000100: mx21d1
      port map (i0  => n000241,
                i1  => n000043,
                s   => n000263,
                z   => n000240);

   u000101: mx21d1
      port map (i0  => n000236,
                i1  => n000044,
                s   => n000263,
                z   => n000235);

   u000102: mx21d1
      port map (i0  => n000231,
                i1  => n000045,
                s   => n000263,
                z   => n000230);

   u000103: mx21d1
      port map (i0  => n000226,
                i1  => n000046,
                s   => n000263,
                z   => n000225);

   u000104: mx21d1
      port map (i0  => n000221,
                i1  => n000047,
                s   => n000263,
                z   => n000220);

   u000105: mx21d1
      port map (i0  => n000216,
                i1  => n000048,
                s   => n000263,
                z   => n000215);

   u000106: mx21d1
      port map (i0  => n000211,
                i1  => n000049,
                s   => n000263,
                z   => n000210);

   u000107: mx21d1
      port map (i0  => n000206,
                i1  => n000050,
                s   => n000263,
                z   => n000205);

   u000108: mx21d1
      port map (i0  => n000201,
                i1  => n000051,
                s   => n000263,
                z   => n000200);

   u000109: mx21d1
      port map (i0  => n000196,
                i1  => n000052,
                s   => n000263,
                z   => n000195);

   u000110: mx21d1
      port map (i0  => n000191,
                i1  => n000053,
                s   => n000263,
                z   => n000190);

   u000111: mx21d1
      port map (i0  => n000186,
                i1  => n000054,
                s   => n000263,
                z   => n000185);

   u000112: mx21d1
      port map (i0  => n000181,
                i1  => n000055,
                s   => n000263,
                z   => n000180);

   u000113: mx21d1
      port map (i0  => n000176,
                i1  => n000056,
                s   => n000263,
                z   => n000175);

   u000114: mx21d1
      port map (i0  => n000171,
                i1  => n000057,
                s   => n000263,
                z   => n000170);

   u000115: mx21d1
      port map (i0  => n000166,
                i1  => n000058,
                s   => n000263,
                z   => n000165);

   u000116: mx21d1
      port map (i0  => n000161,
                i1  => n000059,
                s   => n000263,
                z   => n000160);

   u000117: mx21d1
      port map (i0  => n000156,
                i1  => n000060,
                s   => n000263,
                z   => n000155);

   u000118: mx21d1
      port map (i0  => n000151,
                i1  => n000061,
                s   => n000263,
                z   => n000150);

   u000119: mx21d1
      port map (i0  => n000146,
                i1  => n000062,
                s   => n000263,
                z   => n000145);

   u000120: mx21d1
      port map (i0  => n000141,
                i1  => n000063,
                s   => n000263,
                z   => n000140);

   u000121: mx21d1
      port map (i0  => n000136,
                i1  => n000064,
                s   => n000263,
                z   => n000135);

   u000122: mx21d1
      port map (i0  => n000131,
                i1  => n000065,
                s   => n000263,
                z   => n000130);

   u000123: mx21d1
      port map (i0  => n000126,
                i1  => n000066,
                s   => n000263,
                z   => n000125);

   u000124: mx21d1
      port map (i0  => n000121,
                i1  => n000067,
                s   => n000263,
                z   => n000120);

   u000125: mx21d1
      port map (i0  => n000116,
                i1  => n000068,
                s   => n000263,
                z   => n000115);

   u000126: mx21d1
      port map (i0  => n000111,
                i1  => n000069,
                s   => n000263,
                z   => n000110);

   u000127: mx21d1
      port map (i0  => n000106,
                i1  => n000070,
                s   => n000263,
                z   => n000105);

   u000128: nd02d1
      port map (a1  => n000265,
                a2  => n000262,
                zn  => n000260);

   u000129: ni01d4
      port map (i   => n000002,
                z   => n000266);

   u000130: ni01d4
      port map (i   => n000003,
                z   => n000265);

   u000131: ni01d4
      port map (i   => n000036,
                z   => n000264);

   u000132: ni01d4
      port map (i   => n000038,
                z   => n000263);

   u000133: xo02d1
      port map (a1  => n000073,
                a2  => n000004,
                z   => n000261);

   u000134: xo02d1
      port map (a1  => n000074,
                a2  => n000005,
                z   => n000257);

   u000135: xo02d1
      port map (a1  => n000075,
                a2  => n000006,
                z   => n000252);

   u000136: xo02d1
      port map (a1  => n000078,
                a2  => n000009,
                z   => n000237);

   u000137: xo02d1
      port map (a1  => n000079,
                a2  => n000010,
                z   => n000232);

   u000138: xo02d1
      port map (a1  => n000080,
                a2  => n000011,
                z   => n000227);

   u000139: xo02d1
      port map (a1  => n000081,
                a2  => n000012,
                z   => n000222);

   u000140: xo02d1
      port map (a1  => n000082,
                a2  => n000013,
                z   => n000217);

   u000141: xo02d1
      port map (a1  => n000083,
                a2  => n000014,
                z   => n000212);

   u000142: xo02d1
      port map (a1  => n000084,
                a2  => n000015,
                z   => n000207);

   u000143: xo02d1
      port map (a1  => n000085,
                a2  => n000016,
                z   => n000202);

   u000144: xo02d1
      port map (a1  => n000086,
                a2  => n000017,
                z   => n000197);

   u000145: xo02d1
      port map (a1  => n000087,
                a2  => n000018,
                z   => n000192);

   u000146: xo02d1
      port map (a1  => n000088,
                a2  => n000019,
                z   => n000187);

   u000147: xo02d1
      port map (a1  => n000089,
                a2  => n000020,
                z   => n000182);

   u000148: xo02d1
      port map (a1  => n000090,
                a2  => n000021,
                z   => n000177);

   u000149: xo02d1
      port map (a1  => n000091,
                a2  => n000022,
                z   => n000172);

   u000150: xo02d1
      port map (a1  => n000092,
                a2  => n000023,
                z   => n000167);

   u000151: xo02d1
      port map (a1  => n000093,
                a2  => n000024,
                z   => n000162);

   u000152: xo02d1
      port map (a1  => n000094,
                a2  => n000025,
                z   => n000157);

   u000153: xo02d1
      port map (a1  => n000095,
                a2  => n000026,
                z   => n000152);

   u000154: xo02d1
      port map (a1  => n000096,
                a2  => n000027,
                z   => n000147);

   u000155: xo02d1
      port map (a1  => n000097,
                a2  => n000028,
                z   => n000142);

   u000156: xo02d1
      port map (a1  => n000098,
                a2  => n000029,
                z   => n000137);

   u000157: xo02d1
      port map (a1  => n000099,
                a2  => n000030,
                z   => n000132);

   u000158: xo02d1
      port map (a1  => n000100,
                a2  => n000031,
                z   => n000127);

   u000159: xo02d1
      port map (a1  => n000101,
                a2  => n000032,
                z   => n000122);

   u000160: xo02d1
      port map (a1  => n000102,
                a2  => n000033,
                z   => n000117);

   u000161: xo02d1
      port map (a1  => n000071,
                a2  => n000035,
                z   => n000107);

   u000162: xo03d1
      port map (a1  => n000071,
                a2  => n000076,
                a3  => n000007,
                z   => n000247);

   u000163: xo03d1
      port map (a1  => n000071,
                a2  => n000077,
                a3  => n000008,
                z   => n000242);

   u000164: xo03d1
      port map (a1  => n000071,
                a2  => n000103,
                a3  => n000034,
                z   => n000112);


end STRUCTURAL; -- vsc9_ram_lfsr_c0

-- -----------------------------------------------------------------------------
-- vsc9_ram_lfsr_c0 : RTL VHDL
-- (RTL is optimized for simulation, not synthesis)
-- -----------------------------------------------------------------------------


--synopsys translate_off
architecture RTL of vsc9_ram_lfsr_c0 is

SIGNAL Q  : STD_LOGIC_VECTOR(31 downto 0);
SIGNAL D  : STD_LOGIC_VECTOR(31 downto 0);
CONSTANT  sreset_value : STD_LOGIC_VECTOR(31 downto 0) := "00000000000000000000000000000001";

begin

-- -----------------------------------------------------------------------------
   toq : process (clk, D)                                        
-- -----------------------------------------------------------------------------
   begin                                       
      if (clk = '1' and clk'event) then      
         Q <= D;                               
      end if;                                  
   end process;                                

-- -----------------------------------------------------------------------------
   tod: process(Q, se, si, load, datain, csn, le, sig)
-- -----------------------------------------------------------------------------
   begin                                        
      if (se = '1') then                    
         D(31) <= si;                       
         D(30 downto 0) <= Q(31 downto 1);  
      elsif (load = '1') then                
         D <= datain;                       
      elsif (csn = '0') then                 
         D <= sreset_value;                 
      elsif (le = '0') then                  
         D <= Q;                            
      else                                  
         D <= Q(0)& Q(31 downto 1) xor sig(31 downto 0) xor '0'& Q(0)& "0000000000000000000000000"& Q(0)& Q(0)& "000";             
      end if;                                   

   end process;                                  

   so <= Q(0);                                  
   lout <= Q;                                    

end RTL; -- vsc9_ram_lfsr_c0

--synopsys translate_on
-- -----------------------------------------------------------------------------
-- VHDL Component Instantiation:
-- -----------------------------------------------------------------------------
-- component vsc9_ram_lfsr_c0
--    port ( clk     : in STD_LOGIC;
--           le      : in STD_LOGIC;
--           csn     : in STD_LOGIC;
--           sig     : in STD_LOGIC_VECTOR(31 downto 0);
--           se      : in STD_LOGIC;
--           si      : in STD_LOGIC;
--           load    : in STD_LOGIC;
--           datain  : in STD_LOGIC_VECTOR(31 downto 0);
--           so      : out STD_LOGIC;
--           lout    : out STD_LOGIC_VECTOR(31 downto 0));
-- end component;

--
-- u1: vsc9_ram_lfsr_c0
--    port map ( clk     => ,      -- Clock Input
--               le      => ,      -- LFSR Enable Input
--               csn     => ,      -- Clear Synchronous Not Input
--               sig     => ,      -- 32 bit Signature Input
--               se      => ,      -- Scan Select Input
--               si      => ,      -- Scan in Input
--               load    => ,      -- Load Select Input
--               datain  => ,      -- 32 bit Parallel Data Load Input
--               so      => ,      -- Scan Out Output
--               lout    => );     -- 32 bit LFSR Output Result
-- -----------------------------------------------------------------------------
-- VHDL Synthesis Commands:
-- -----------------------------------------------------------------------------
--   set_dont_touch find(design, vsc9_ram_lfsr_c0, -hierarchy)
--   remove_attribute find(design, vsc9_ram_lfsr_c0, -hierarchy) dont_touch
--   set_ungroup find(design, vsc9_ram_lfsr_c0, -hierarchy)
-- -----------------------------------------------------------------------------

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -