📄 wan_mux.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity wan_mux is
port (sel :in std_logic;
d00,d01,d02,d03,d04,d05,d06,d07,d10,d11,d12,d13,d14,d15,d16,d17 :in std_logic;
rst :out std_logic_vector(7 downto 0));
end wan_mux;
architecture behave of wan_mux is
begin
with sel select
rst<= d07&d06&d05&d04&d03&d02&d01&d00 when'0',
d17&d16&d15&d14&d13&d12&d11&d10 when others;
end behave;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -