📄 wancheckmux.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity wancheckmux is
port(din :in std_logic_vector(15 downto 0);
sel :in std_logic_vector(3 downto 0);
dout :out std_logic_vector(3 downto 0));
end wancheckmux;
architecture behave of wancheckmux is
begin
dout<=din(15 downto 12)when sel="1111"else
din(14 downto 11)when sel="1110"else
din(13 downto 10)when sel="1101"else
din(12 downto 9)when sel="1100"else
din(11 downto 8)when sel="1011"else
din(10 downto 7)when sel="1010"else
din(9 downto 6)when sel="1001"else
din(8 downto 5)when sel="1000"else
din(7 downto 4)when sel="0111"else
din(6 downto 3)when sel="0110"else
din(5 downto 2)when sel="0101"else
din(4 downto 1)when sel="0100"else
din(3 downto 0);
end behave;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -