虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 教程资料 > 基于VHDL的简易数字钟的设计

基于VHDL的简易数字钟的设计

  • 资源大小:605 K
  • 上传时间: 2013-11-07
  • 上传用户:SAMDUK
  • 资源积分:2 下载积分
  • 标      签: VHDL 数字

资 源 简 介

时、分、秒定时,带闹钟,整点报时,6位数码管动态显示

相 关 资 源

您 可 能 感 兴 趣 的