虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 并行计算 > 此程序采用VHDL语言

此程序采用VHDL语言

  • 资源大小:2 K
  • 上传时间: 2016-06-17
  • 上传用户:balefu123
  • 资源积分:2 下载积分
  • 标      签: VHDL 程序 语言

资 源 简 介

此程序采用VHDL语言,利用元件例化语句,在带BCD码转换的4位加法器的基础上完成8位加法器的例化

相 关 资 源