虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 一个自动售邮票的控制电路。 用两个发光二极管分别模拟售出面值为六角和八角的邮票

一个自动售邮票的控制电路。 用两个发光二极管分别模拟售出面值为六角和八角的邮票

资 源 简 介

一个自动售邮票的控制电路。 用两个发光二极管分别模拟售出面值为六角和八角的邮票,购买者可以通过开关选择一种面值的邮票,灯亮时表示邮票售出。用开关分别模拟一角、五角和一元硬币投入。用发光二极管分别代表找回剩余的硬币。 要求:每次只能售出一枚邮票;当所投硬币达到或超过购买者所选面值时,售出一枚邮票,并找回剩余的硬币回到初始状态;当所投硬币值不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。

相 关 资 源