虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 要求用VHDL语言设计7人表决器和系列检测器

要求用VHDL语言设计7人表决器和系列检测器

  • 资源大小:2 K
  • 上传时间: 2013-12-26
  • 上传用户:dhgagfdagfdsdgf
  • 资源积分:2 下载积分
  • 标      签: VHDL 语言 表决器 检测器

资 源 简 介

要求用VHDL语言设计7人表决器和系列检测器,检测“1111111101111110”

相 关 资 源

您 可 能 感 兴 趣 的