虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 利用VHDL语言描述的5分频器(改变程序中m1,m2值

利用VHDL语言描述的5分频器(改变程序中m1,m2值

  • 资源大小:248 K
  • 上传时间: 2013-12-22
  • 上传用户:youwei15
  • 资源积分:2 下载积分
  • 标      签: VHDL 语言 分频器 改变

资 源 简 介

利用VHDL语言描述的5分频器(改变程序中m1,m2值,可作为任意奇数分频器)

相 关 资 源