虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL实现16个霓虹灯按照多种方式闪亮.

用VHDL实现16个霓虹灯按照多种方式闪亮.

  • 资源大小:2 K
  • 上传时间: 2013-12-10
  • 上传用户:foreigngirl
  • 资源积分:2 下载积分
  • 标      签: VHDL 霓虹灯 方式

资 源 简 介

用VHDL实现16个霓虹灯按照多种方式闪亮.

相 关 资 源