虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > SPI总线硬件描述语言Verilog下的实现

SPI总线硬件描述语言Verilog下的实现

  • 资源大小:6 K
  • 上传时间: 2014-01-21
  • 上传用户:fairbank
  • 资源积分:2 下载积分
  • 标      签: Verilog SPI 总线 下的实现

资 源 简 介

SPI总线硬件描述语言Verilog下的实现,含主模式和从模式的实现,经过仿真验证,可作为一个单独的模块使用

相 关 资 源