虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 设计一个字节(8 位)比较器。 要求:比较两个字节的大小

设计一个字节(8 位)比较器。 要求:比较两个字节的大小

  • 资源大小:8 K
  • 上传时间: 2015-11-06
  • 上传用户:hcwlxhyq
  • 资源积分:2 下载积分
  • 标      签: 字节 比较器 比较

资 源 简 介

设计一个字节(8 位)比较器。 要求:比较两个字节的大小,如a[7:0]大于 b[7:0]输出高电平,否则输出低电平,改写测试 模型,使其能进行比较全面的测试 。

相 关 资 源

您 可 能 感 兴 趣 的