虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 实现二进制长串的算术右移的操作。希望有点参考价值。可以直接运行

实现二进制长串的算术右移的操作。希望有点参考价值。可以直接运行

  • 资源大小:17 K
  • 上传时间: 2013-12-23
  • 上传用户:xueyulanlian
  • 资源积分:2 下载积分
  • 标      签: 二进制 算术 操作 价值

资 源 简 介

实现二进制长串的算术右移的操作。希望有点参考价值。可以直接运行,多提意见咯。。。谢谢`

相 关 资 源