虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > VHDL语言 用来实现对LCD的控制

VHDL语言 用来实现对LCD的控制

  • 资源大小:6 K
  • 上传时间: 2014-11-11
  • 上传用户:alsczhang
  • 资源积分:2 下载积分
  • 标      签: LCD 语言 控制

资 源 简 介

VHDL语言 用来实现对LCD的控制,实现显示功能

相 关 资 源

您 可 能 感 兴 趣 的