虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 本压缩文件包含:使用VHDL来实现对LED的静态显示

本压缩文件包含:使用VHDL来实现对LED的静态显示

  • 资源大小:2 K
  • 上传时间: 2013-12-04
  • 上传用户:anlan001
  • 资源积分:2 下载积分
  • 标      签: VHDL LED 静态显示

资 源 简 介

本压缩文件包含:使用VHDL来实现对LED的静态显示,实现对LED的动态显示。

相 关 资 源

您 可 能 感 兴 趣 的