虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > -- M68008 Address Decoder -- Address decoder for the m68008 -- asbar must be 0 to enable any outpu

-- M68008 Address Decoder -- Address decoder for the m68008 -- asbar must be 0 to enable any outpu

  • 资源大小:2 K
  • 上传时间: 2015-07-01
  • 上传用户:lingyun579
  • 资源积分:2 下载积分
  • 标      签: Address Decoder decoder M68008

资 源 简 介

-- M68008 Address Decoder -- Address decoder for the m68008 -- asbar must be 0 to enable any output -- csbar(0) : X"00000" to X"01FFF" -- csbar(1) : X"40000" to X"43FFF" -- csbar(2) : X"08000" to X"0AFFF" -- csbar(3) : X"E0000" to X"E01FF" -- download from www.pld.com.cn & www.fpga.com.cn

相 关 资 源

您 可 能 感 兴 趣 的