虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 一篇用VHDL实现快速傅立叶变换的论文

一篇用VHDL实现快速傅立叶变换的论文

  • 资源大小:62 K
  • 上传时间: 2015-01-21
  • 上传用户:yyyz
  • 资源积分:2 下载积分
  • 标      签: VHDL 傅立叶变换 论文

资 源 简 介

一篇用VHDL实现快速傅立叶变换的论文,包括原理分析和代码实现,印度圣雄甘地大学M.A.学院提供

相 关 资 源

您 可 能 感 兴 趣 的