虫虫首页|资源下载|资源专辑|精品软件
登录|注册

WIRE

  • The Internet of Things From RFI

    With more than two billion terminals in commercial operation world-wide, WIRE- less and mobile technologies have enabled a first wave of pervasive communication systems and applications. Still, this is only the beginning as WIREless technologies such as RFID are currently contemplated with a deployment potential of tens of billions of tags and a virtually unlimited application potential. A recent ITU report depicts a scenario of “Internet of things” — a world in which billions of objects will report their location, identity, and history over WIREless connections.

    标签: Internet Things From The RFI of

    上传时间: 2020-06-08

    上传用户:shancjb

  • 完全替代兼用取代HT1623:VK0384,VK1623,VK1623S

    产品型号:VK0384 产品品牌:VINTEK/元泰/VINKA 封装形式: LQFP64 替代型号:HT1623  原厂直销,工程服务,技术支持,价格更具优势! 概述: VK0384是一个48x8的LCD駆动器. 可软件程控使其适用于多样化的LCD应用线路. 仅用到3至4条讯号线便可控制LCD駆动器,除此之外亦可介由指令使其进入省电模式 特色 工作电压: 2.4V~5.2V 内建256KHz RC oscillator 提供1/4 偏压1/8 COM 周期 省电模式 48x8 LCD駆动器 内建48x8 bit 显示内存 3-WIRE serial interface 软件程控 资料及指令模式 VLCD 脚位可用来调整LCD输出电压 内建电阻式偏压产生线路 8种WDT的基频选择 定时器及WDT的溢位输出 两种蜂鸣器频率(2KHz/4KHz) 内建time base generator 以及WDT Time base or WDT 溢位输出

    标签: 1623 VK 0384 HT1623

    上传时间: 2020-09-19

    上传用户:2937735731

  • lm75A温度数字转换器 FPGA读写实验Verilog逻辑源码Quartus工程文件+文档资料

    lm75A温度数字转换器 FPGA读写实验Verilog逻辑源码Quartus工程文件+文档资料,FPGA为CYCLONE4系列中的EP4CE6E22C8. 完整的工程文件,可以做为你的学习设计参考。LM75A 是一个使用了内置带隙温度传感器和模数转换技术的温度数字转换器。它也是一个温度检测器,可提供一个过热检测输出。LM75A 包含许多数据寄存器:配置寄存器用来存储器件的某些配置,如器件的工作模式、OS 工作模式、OS 极性和OS 故障队列等(在功能描述一节中有详细描述);温度寄存器(Temp),用来存储读取的数字温度;设定点寄存器(Tos & Thyst),用来存储可编程的过热关断和滞后限制,器件通过2 线的串行I2C 总线接口与控制器通信。LM75A 还包含一个开漏输出(OS),当温度超过编程限制的值时该输出有效。LM75A 有3 个可选的逻辑地址管脚,使得同一总线上可同时连接8个器件而不发生地址冲突。LM75A 可配置成不同的工作条件。它可设置成在正常工作模式下周期性地对环境温度进行监控或进入关断模式来将器件功耗降至最低。OS 输出有2 种可选的工作模式:OS 比较器模式和OS 中断模式。OS 输出可选择高电平或低电平有效。故障队列和设定点限制可编程,为了激活OS 输出,故障队列定义了许多连续的故障。温度寄存器通常存放着一个11 位的二进制数的补码,用来实现0.125℃的精度。这个高精度在需要精确地测量温度偏移或超出限制范围的应用中非常有用。正常工作模式下,当器件上电时,OS 工作在比较器模式,温度阈值为80℃,滞后75℃,这时,LM75A就可用作一个具有以上预定义温度设定点的独立的温度控制器。module LM75_SEG_LED ( //input input                   sys_clk           ,input                   sys_rst_n         ,inout                   sda_port          ,//output output WIRE              seg_c1         ,output WIRE              seg_c2         ,output WIRE              seg_c3         ,output WIRE              seg_c4         ,output reg               seg_a          ,output reg               seg_b          ,output reg               seg_c          ,output reg               seg_e          ,output reg               seg_d          ,output reg               seg_f          ,output reg               seg_g          ,output reg               seg_h          ,      output reg              clk_sclk                        );//parameter define parameter WIDTH = 8;parameter SIZE  = 8;//reg define reg    [WIDTH-1:0]       counter             ;reg    [9:0]             counter_div         ;reg                      clk_50k             ;reg                      clk_200k            ;reg                      sda                 ;reg                      enable              ;

    标签: lm75a 数字转换器 fpga verilog

    上传时间: 2021-10-26

    上传用户:ooaaooxx

  • FPGA采样AD9238数据并通过VGA波形显示例程 Verilog逻辑源码Quartus工程文件+

    FPGA采样AD9238数据并通过VGA波形显示例程 Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。ADC 模块型号为 AN9238,最大采样率 65Mhz,精度为12 位。实验中把 AN9238 的 2 路输入以波形方式在 HDMI 上显示出来,我们可以用更加直观的方式观察波形,是一个数字示波器雏形。module top( input                       clk, input                       rst_n, output                      ad9238_clk_ch0, output                      ad9238_clk_ch1, input[11:0]                 ad9238_data_ch0, input[11:0]                 ad9238_data_ch1, //vga output output                      vga_out_hs, //vga horizontal synchronization output                      vga_out_vs, //vga vertical synchronization output[4:0]                 vga_out_r,  //vga red output[5:0]                 vga_out_g,  //vga green output[4:0]                 vga_out_b   //vga blue);WIRE                            video_clk;WIRE                            video_hs;WIRE                            video_vs;WIRE                            video_de;WIRE[7:0]                       video_r;WIRE[7:0]                       video_g;WIRE[7:0]                       video_b;WIRE                            grid_hs;WIRE                            grid_vs;WIRE                            grid_de;WIRE[7:0]                       grid_r;WIRE[7:0]                       grid_g;WIRE[7:0]                       grid_b;WIRE                            wave0_hs;WIRE                            wave0_vs;WIRE                            wave0_de;WIRE[7:0]                       wave0_r;WIRE[7:0]                       wave0_g;WIRE[7:0]                       wave0_b;WIRE                            wave1_hs;WIRE                            wave1_vs;WIRE                            wave1_de;WIRE[7:0]                       wave1_r;WIRE[7:0]                       wave1_g;WIRE[7:0]                       wave1_b;WIRE                            adc_clk;WIRE                            adc0_buf_wr;WIRE[10:0]                      adc0_buf_addr;WIRE[7:0]                       adc0_bu

    标签: fpga ad9238

    上传时间: 2021-10-27

    上传用户:qingfengchizhu

  • 基于FPGA设计的字符VGA LCD显示实验Verilog逻辑源码Quartus工程文件+文档说明

    基于FPGA设计的字符VGA  LCD显示实验Verilog逻辑源码Quartus工程文件+文档说明,通过字符转换工具将字符转换为 8 进制 mif 文件存放到单端口的 ROM IP 核中,再从ROM 中把转换后的数据读取出来显示到 VGA 上,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。module top( input                       clk, input                       rst_n, //vga output         output                      vga_out_hs, //vga horizontal synchronization          output                      vga_out_vs, //vga vertical synchronization                   output[4:0]                 vga_out_r,  //vga red output[5:0]                 vga_out_g,  //vga green output[4:0]                 vga_out_b   //vga blue );WIRE                            video_clk;WIRE                            video_hs;WIRE                            video_vs;WIRE                            video_de;WIRE[7:0]                       video_r;WIRE[7:0]                       video_g;WIRE[7:0]                       video_b;WIRE                            osd_hs;WIRE                            osd_vs;WIRE                            osd_de;WIRE[7:0]                       osd_r;WIRE[7:0]                       osd_g;WIRE[7:0]                       osd_b;assign vga_out_hs = osd_hs;assign vga_out_vs = osd_vs;assign vga_out_r  = osd_r[7:3]; //discard low bit dataassign vga_out_g  = osd_g[7:2]; //discard low bit dataassign vga_out_b  = osd_b[7:3]; //discard low bit data//generate video pixel clockvideo_pll video_pll_m0( .inclk0                (clk                        ), .c0                    (video_clk                  ));color_bar color_bar_m0( .clk                   (video_clk                  ), .rst                   (~rst_n                     ), .hs                    (video_hs                   ), .vs                    (video_vs                   ), .de                    (video_de                   ), .rgb_r                 (video_r                    ), .rgb_g                 (video_g                    ), .rgb_b                 (video_b                    ));osd_display  osd_display_m0( .rst_n                 (rst_n                      ), .pclk                  (video_clk                  ), .i_hs                  (video_hs                   ), .i_vs                  (video_vs                   ), .i_de                  (video_de                   ), .i_data                ({video_r,video_g,video_b}  ), .o_hs                  (osd_hs                     ), .o_vs                  (osd_vs                     ), .o_de                  (osd_de                     ), .o_data                ({osd_r,osd_g,osd_b}        ));endmodule

    标签: fpga vga lcd

    上传时间: 2021-12-18

    上传用户:jimmy950583

  • 基于FPGA设计的sdram读写测试实验Verilog逻辑源码Quartus工程文件+文档说明 DR

    基于FPGA设计的sdram读写测试实验Verilog逻辑源码Quartus工程文件+文档说明,DRAM选用海力士公司的 HY57V2562 型号,容量为的 256Mbit,采用了 54 引脚的TSOP 封装, 数据宽度都为 16 位, 工作电压为 3.3V,并丏采用同步接口方式所有的信号都是时钟信号。FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。timescale 1ps/1psmodule top(input                        clk,input                        rst_n,output[1:0]                  led,output                       sdram_clk,     //sdram clockoutput                       sdram_cke,     //sdram clock enableoutput                       sdram_cs_n,    //sdram chip selectoutput                       sdram_we_n,    //sdram write enableoutput                       sdram_cas_n,   //sdram column address strobeoutput                       sdram_ras_n,   //sdram row address strobeoutput[1:0]                  sdram_dqm,     //sdram data enable output[1:0]                  sdram_ba,      //sdram bank addressoutput[12:0]                 sdram_addr,    //sdram addressinout[15:0]                  sdram_dq       //sdram data);parameter MEM_DATA_BITS          = 16  ;        //external memory user interface data widthparameter ADDR_BITS              = 24  ;        //external memory user interface address widthparameter BUSRT_BITS             = 10  ;        //external memory user interface burst widthparameter BURST_SIZE             = 128 ;        //burst sizeWIRE                             wr_burst_data_req;       // from external memory controller,write data request ,before data 1 clockWIRE                             wr_burst_finish;         // from external memory controller,burst write finish

    标签: fpga sdram verilog quartus

    上传时间: 2021-12-18

    上传用户:lostxc

  • 基于FPGA设计的vga显示测试实验Verilog逻辑源码Quartus工程文件+文档说明 FPGA

    基于FPGA设计的vga显示测试实验Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。module top( input                       clk, input                       rst_n, //vga output         output                      vga_out_hs, //vga horizontal synchronization          output                      vga_out_vs, //vga vertical synchronization                   output[4:0]                 vga_out_r,  //vga red output[5:0]                 vga_out_g,  //vga green output[4:0]                 vga_out_b   //vga blue );WIRE                            video_clk;WIRE                            video_hs;WIRE                            video_vs;WIRE                            video_de;WIRE[7:0]                       video_r;WIRE[7:0]                       video_g;WIRE[7:0]                       video_b;assign vga_out_hs = video_hs;assign vga_out_vs = video_vs;assign vga_out_r  = video_r[7:3]; //discard low bit dataassign vga_out_g  = video_g[7:2]; //discard low bit dataassign vga_out_b  = video_b[7:3]; //discard low bit data//generate video pixel clockvideo_pll video_pll_m0( .inclk0(clk), .c0(video_clk));color_bar color_bar_m0( .clk(video_clk), .rst(~rst_n), .hs(video_hs), .vs(video_vs), .de(video_de), .rgb_r(video_r), .rgb_g(video_g), .rgb_b(video_b));endmodule

    标签: fpga vga显示 verilog quartus

    上传时间: 2021-12-18

    上传用户:kingwide

  • FPGA片内FIFO读写测试Verilog逻辑源码Quartus工程文件+文档说明 使用 FPGA

    FPGA片内FIFO读写测试Verilog逻辑源码Quartus工程文件+文档说明,使用 FPGA 内部的 FIFO 以及程序对该 FIFO 的数据读写操作。FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////module fifo_test( input clk,           //50MHz时钟 input rst_n              //复位信号,低电平有效 );//-----------------------------------------------------------localparam      W_IDLE      = 1;localparam      W_FIFO     = 2; localparam      R_IDLE      = 1;localparam      R_FIFO     = 2; reg[2:0]  write_state;reg[2:0]  next_write_state;reg[2:0]  read_state;reg[2:0]  next_read_state;reg[15:0] w_data;    //FIFO写数据WIRE      wr_en;    //FIFO写使能WIRE      rd_en;    //FIFO读使能WIRE[15:0] r_data; //FIFO读数据WIRE       full;  //FIFO满信号 WIRE       empty;  //FIFO空信号 WIRE[8:0]  rd_data_count;  WIRE[8:0]  wr_data_count;  ///产生FIFO写入的数据always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) write_state <= W_IDLE; else write_state <= next_write_state;endalways@(*)begin case(write_state) W_IDLE: if(empty == 1'b1)               //FIFO空, 开始写FIFO next_write_state <= W_FIFO; else next_write_state <= W_IDLE; W_FIFO: if(full == 1'b1)                //FIFO满 next_write_state <= W_IDLE; else next_write_state <= W_FIFO; default: next_write_state <= W_IDLE; endcaseendassign wr_en = (next_write_state == W_FIFO) ? 1'b1 : 1'b0; always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) w_data <= 16'd0; else    if (wr_en == 1'b1)     w_data <= w_data + 1'b1; else          w_data <= 16'd0; end///产生FIFO读的数据always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) read_state <= R_IDLE; else read_state <= next_read_state;endalways@(*)begin case(read_state) R_IDLE: if(full == 1'b1)               //FIFO满, 开始读FIFO next_read_state <= R_FIFO; else next_read_state <= R_IDLE; R_FIFO: if(empty == 1'b1)   

    标签: fpga fifo verilog quartus

    上传时间: 2021-12-18

    上传用户:20125101110

  • FPGA Verilog HDL设计温度传感器ds18b20温度读取并通过lcd1620和数码管显示

    FPGA Verilog HDL设计温度传感器ds18b20温度读取并通过lcd1620和8位LED数码管显示的QUARTUS II 12.0工程文件,包括完整的设计文件.V源码,可以做为你的学习及设计参考。module ds18b20lcd1602display ( Clk, Rst,      DQ,   //18B20数据端口 Txd,  //串口发送端口 LCD_Data, //lcd LCD_RS, LCD_RW, LCD_En, SMData, //数码管段码 SMCom   //数码管位码 );input Rst,Clk;output Txd,LCD_RS,LCD_En,LCD_RW;inout DQ;output[7:0] LCD_Data;output[7:0] SMData;output[3:0] SMCom;WIRE DataReady;//测温完成信号WIRE [15:0] MeasureResult;//DS18B20测温结果reg  [15:0] Temperature;//产生LCD的位码和段码LCD1602Display Gen_LCD(.resetin(Rst),.clkin(Clk),.Data16bIn(Temperature),.lcd_data(LCD_Data),.lcd_rs(LCD_RS),.lcd_rw(LCD_RW),.lcd_e(LCD_En)/*,.SMCom(SMCom)*/);//DS18B20测温和发送  DS18B20 TmpMeasureAndTx(.Rst(Rst),.Clk(Clk),.DQ(DQ),.Txd(Txd),.FinishFlag(DataReady),.Data16b(MeasureResult));//产生数码管的位码和段码SMDisplay Gen_SM(.Rst(Rst),.

    标签: fpga verilog hdl 温度传感器 ds18b20 lcd1620 数码显示

    上传时间: 2022-01-29

    上传用户:wwa875

  • verilog实现I2C通信的slave模块源码状态机设位计可做I2C接口的仿真模型

    verilog实现I2C通信的slave模块源码状态机设位计可做I2C接口的仿真模型//`timescale 1ns/1psmodule I2C_slv (input [6:0] slv_id,input       RESET,input       scl_i,      //I2C clkinput       sda_i,      //I2C data ininput [7:0] I2C_RDDATA,////////////////////////output reg       sda_o,     //I2C data outoutput reg       reg_w,     //reg write enable pulse (1T of scl_i)output reg [7:0] I2C_ADDR,output reg [7:0] I2C_DATA);  parameter ST_ADDR    = 4'd0;  parameter ST_ACK     = 4'd1;  parameter ST_WDATA1  = 4'd2;  parameter ST_WACK1   = 4'd3;  parameter ST_WDATA2  = 4'd4;  parameter ST_WACK2   = 4'd5;  parameter ST_WDATA3  = 4'd6;  parameter ST_WACK3   = 4'd7;  parameter ST_RDATA1  = 4'd8;  parameter ST_RACK1   = 4'd9;  parameter ST_IDLE    = 4'd15;//---------------------------------------------------------------------------// Signal Declaration//---------------------------------------------------------------------------  reg        i2c_start_n, i2c_stop_n;  //WIRE       RESET_scl;  WIRE       i2c_stp_n, i2c_RESET;  reg [3:0]  i2c_cs, i2c_ns;  reg [3:0]  cnt_bit;  reg [7:0]  d_vec;  reg        i2c_rd, i2c_ack;  reg [7:0]  I2C_RDDATA_latch;

    标签: verilog i2c 通信 slave

    上传时间: 2022-02-03

    上传用户:zhengtiantong