虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > VGA彩色信号控制器设计:用VHDL语言编写程序

VGA彩色信号控制器设计:用VHDL语言编写程序

  • 资源大小:183 K
  • 上传时间: 2017-05-07
  • 上传用户:kyzgq
  • 资源积分:2 下载积分
  • 标      签: VHDL VGA 彩色 信号

资 源 简 介

VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。

相 关 资 源