虫虫首页|资源下载|资源专辑|精品软件
登录|注册

数字频率计

数字频率计是采用数字电路制做成的能实现对周期性变化信号频率测量的仪器。频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。通常说的,数字频率计是指电子计数式频率计。
  • 基于单片机的数字频率计的设计

    基于单片机的数字频率计的设计

    标签: 单片机 数字频率计

    上传时间: 2013-06-16

    上传用户:eeworm

  • 基于单片机的数字频率计的设计-68页-0.7M.pdf

    专辑类-单片机专辑-258册-4.20G 基于单片机的数字频率计的设计-68页-0.7M.pdf

    标签: 0.7 68 单片机

    上传时间: 2013-07-09

    上传用户:nbdedu

  • 基于VHDL语言设计数字频率计.rar

    基于VHDL的数字频率计的设计(非常的实用

    标签: VHDL 语言 数字频率计

    上传时间: 2013-06-05

    上传用户:我们的船长

  • 基于FPGA的全同步数字频率计的设计.rar

    频率是电子技术领域内的一个基本参数,同时也是一个非常重要的参数。稳定的时钟在高性能电子系统中有着举足轻重的作用,直接决定系统性能的优劣。随着电子技术的发展,测频系统使用时钟的提高,测频技术有了相当大的发展,但不管是何种测频方法,±1个计数误差始终是限制测频精度进一步提高的一个重要因素。 本设计阐述了各种数字测频方法的优缺点。通过分析±1个计数误差的来源得出了一种新的测频方法:检测被测信号,时基信号的相位,当相位同步时开始计数,相位再次同步时停止计数,通过相位同步来消除计数误差,然后再通过运算得到实际频率的大小。根据M/T法的测频原理,已经出现了等精度的测频方法,但是还存在±1的计数误差。因此,本文根据等精度测频原理中闸门时间只与被测信号同步,而不与标准信号同步的缺点,通过分析已有等精度澳孽频方法所存在±1个计数误差的来源,采用了全同步的测频原理在FPGA器件上实现了全同步数字频率计。根据全同步数字频率计的测频原理方框图,采用VHDL语言,成功的编写出了设计程序,并在MAX+PLUS Ⅱ软件环境中,对编写的VHDL程序进行了仿真,得到了很好的效果。最后,又讨论了全同步频率计的硬件设计并给出了电路原理图和PCB图。对构成全同步数字频率计的每一个模块,给出了较详细的设计方法和完整的程序设计以及仿真结果。

    标签: FPGA 数字频率计

    上传时间: 2013-06-05

    上传用户:wys0120

  • 基于FPGA的全同步数字频率计的设计

    频率是电子技术领域内的一个基本参数,同时也是一个非常重要的参数。稳定的时钟在高性能电子系统中有着举足轻重的作用,直接决定系统性能的优劣。随着电子技术的发展,测频系统使用时钟的提高,测频技术有了相当大的发展,但不管是何种测频方法,±1个计数误差始终是限制测频精度进一步提高的一个重要因素。 本设计阐述了各种数字测频方法的优缺点。通过分析±1个计数误差的来源得出了一种新的测频方法:检测被测信号,时基信号的相位,当相位同步时开始计数,相位再次同步时停止计数,通过相位同步来消除计数误差,然后再通过运算得到实际频率的大小。根据M/T法的测频原理,已经出现了等精度的测频方法,但是还存在±1的计数误差。因此,本文根据等精度测频原理中闸门时间只与被测信号同步,而不与标准信号同步的缺点,通过分析已有等精度澳孽频方法所存在±1个计数误差的来源,采用了全同步的测频原理在FPGA器件上实现了全同步数字频率计。根据全同步数字频率计的测频原理方框图,采用VHDL语言,成功的编写出了设计程序,并在MAX+PLUS Ⅱ软件环境中,对编写的VHDL程序进行了仿真,得到了很好的效果。最后,又讨论了全同步频率计的硬件设计并给出了电路原理图和PCB图。对构成全同步数字频率计的每一个模块,给出了较详细的设计方法和完整的程序设计以及仿真结果。

    标签: FPGA 数字频率计

    上传时间: 2013-04-24

    上传用户:qqoqoqo

  • 基于FPGA的数字频率计的设计与实现

    介绍了一种运用FPGA开发软件Quartus II设计的数字频率计。该数字频率计的1 Hz~1 MHz输入被测脉冲信号具有频率测量、周期测量、脉宽测量和占空比测量等多种用途,其测试结果由3 只七段数码

    标签: FPGA 数字频率计

    上传时间: 2013-05-22

    上传用户:qb1993225

  • 基于51单片机的数字频率计

    基于51单片机的数字频率计,里面有源代码与proteus仿真模型,可以作为学习参考之用^_^

    标签: 51单片机 数字频率计

    上传时间: 2013-07-03

    上传用户:akk13

  • 基于FPGA数字频率计的实现

    基于FPGA数字频率计的实现,文中有所有的源代码,仅供参考。

    标签: FPGA 数字频率计

    上传时间: 2013-08-05

    上传用户:13736136189

  • 基于FPGA的数字频率计的设计11利用VHDL 硬件描述语言设计

    基于FPGA的数字频率计的设计11利用VHDL 硬件描述语言设计,并在EDA(电子设计自动化) 工具的帮助下,用大规模可编程逻辑器件(FPGA/ CPLD) 实现数字频率计的设计原理及相关程序

    标签: FPGA VHDL 数字频率计 硬件描述语言

    上传时间: 2013-08-06

    上传用户:taozhihua1314

  • 用vhdl编写的基于fpga的数字频率计程序算法

    用vhdl编写的基于fpga的数字频率计程序算法

    标签: vhdl fpga 编写 数字频率计

    上传时间: 2013-09-06

    上传用户:chfanjiang