虫虫首页|资源下载|资源专辑|精品软件
登录|注册

数字复接器

  • 基于FPGA技术的星载高速复接器设计

    随着空间科学任务的增加,需要处理的空间科学数据量激增,要求建立一个高速的空间数据连接网络.高速复接器作为空间飞行器星上网络的关键设备,其性能对整个空间数据网络的性能起着重要影响.该文阐述了利用先入先出存储器FIFO进行异步速率调整,应用VHDL语言和可编程门阵列FPGA技术,对多个信号源数据进行数据打包、信道选通调度和多路复接的方法.设计中,用VHDL语言对高速复接器进行行为级建模,为了验证这个模型,首先使用软件进行仿真,通过编写testbench程序模拟FIFO的动作特点,对程序输入信号进行仿真,在软件逻辑仿真取得预期结果后,继续设计硬件电路,设计出的实际电路实现了将来自两个不同速率的信源数据(1394总线数据和1553B总线数据)复接成一路符合CCSDS协议的位流业务数据.在实验调试中对FPGA的输出数据进行检验,同时对设计方法进行验证.验证结果完全符合设计目标.应用硬件可编程逻辑芯片FPGA设计高速复接器,大幅度提高了数据的复接速率,可应用于未来的星载高速数据系统中,能够完成在轨系统的数据复接任务.

    标签: FPGA 星载 复接器

    上传时间: 2013-07-16

    上传用户:wfl_yy

  • 数字复接器的FPGA设计与实现

    该文首先分析了线路码的一般问题;其次分析了正码速调整的基本原理及所涉及的一般问题,并说明了用FPGA进行电路设计的一般方法;最后分析了该系统所产生的抖动,如抖动的产生,分类以及如何减小抖动等,并对该课题所产生的两类抖动即正码速调整引入的侯时抖动和平滑锁相环引入的抖动进行了分析,并用Matlab仿真工具对锁相环的抖动与其环路带宽之间的关系进行了仿真与计算. 作者的工作主要包括: 1.利用FPGA完成了复接、分接系统的设计和调试.2.利用FPGA完成了HDB3线路码的设计与调试.3.利用锁相环完成了码速恢复.4,对该复接分接系统所产生的抖动进行了理论分析和仿真.5.对FPGA进行了误码率测试,误码性能优于10

    标签: FPGA 数字复接器

    上传时间: 2013-04-24

    上传用户:songnanhua

  • 用FPGA实现数字复接?肍PGA实现数字复接

    用FPGA实现数字复接?肍PGA实现数字复接

    标签: FPGA PGA 数字复接

    上传时间: 2015-06-08

    上传用户:wendy15

  • 同步数字复接的设计及其FPGA实现 在简要介绍同步数字复接基本原理的基础上

    同步数字复接的设计及其FPGA实现 在简要介绍同步数字复接基本原理的基础上,采用VHDL语言对同步数字复接各组成模块进行了设计,并在ISE集成环境下进行了设计描述、综合、布局布线及时序仿真,取得了正确的设计结果,同时利用中小容量的FPGA实现了同步数字复接功能。 基群速率数字信号的合成设备和分接设备是电信网络中使用较多的关键设备,在数字程控交换机的用户模块、小灵通基站控制器和集团电话中都需要使用这种同步数字复接设备。近年来,随着需要自建内部通信系统的公司和企业不断增多,同步数字复接设备的使用需求也在增加。FPGA(现场可编程门阵列)器件的高性能简化了数字通信系统的设计与实现。本文基于FPGA的技术特点,结合数字复接技术的基本原理,实现了基群速率(2048kbps)数字信号的数字分接与复接。

    标签: FPGA 数字复接

    上传时间: 2013-12-19

    上传用户:ommshaggar

  • 基于FPGA采用PCM通信实现多路数据采集器的研制

    本文研制的数据采集器,用于采集导弹过载模拟试车台的各种参数,来评价导弹在飞行过程中的性能,由于试车台是高速旋转体,其工作环境恶劣,受电磁干扰大,而且设备要求高,如果遇到设备故障或设备事故,其损失相当巨大,保证设备的安全性和可靠性较为困难。 本文在分析数字通信技术的基础上,选用了基于现场可编程逻辑阵列(FPGA)采用脉冲编码调制(PCM)通信实现多路数据采集器的设计,其优点是FPGA技术在数据采集器中可以进行模块化设计,增加了系统的抗干扰性、灵活性和适应性,并且可以将整个PCM通信系统设计成可编程序系统,用户只要稍加变更程序,则系统的被测路数、帧结构、码速率、标度等均可改变以适应任何场合。并且采用合理的纠错和加密编码能够实现数据在传输工程中的完整性和安全性。 通过对PCM通信的特点研究,研制了一套集采集与传输的系统。文章给出了各个模块的具体建模与设计,系统采用的是FPGA技术来实现数据采集和信号处理,采用VHDL实现了数字复接器和分接器、编解码器、调制与解调模块的建模与设计。采用基于NiosII实现串口通讯,构建了实时性和准确性通信网络,实现了数据的采集。 测试数据和数据采集的实验结果证明,采用FPGA技术实现PCM信号的编码、传输、解码,能够有较强的抗干扰性、抗噪声性能好、差错可控、易加密、易与现代技术结合,并且误码率较低,要远远优于传统的方法。

    标签: FPGA PCM 通信实现 多路

    上传时间: 2013-04-24

    上传用户:com1com2

  • 基于FPGA的TS流复用器及其接口的设计与实现.rar

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: FPGA TS流 复用器

    上传时间: 2013-08-02

    上传用户:gdgzhym

  • 传输流复用器的FPGA建模与实现

    数字电视近年来飞速发展,它最终取代模拟电视是一个必然趋势。可编程逻辑技术以及EDA技术的升温也带来了电子系统设计的巨大变革。本论文将迅速发展的FPGA技术应用于数字电视系统中,研究探讨了数字电视前端系统中的关键设备——传输流复用器的FPGA建模和实现,以及相关的关键技术。本论文首先介绍了数字电视的发展现状和前景,概述了数字电视前端系统的组成结构与关键技术,以及可编程逻辑技术的发展和优势。然后介绍了数字电视系统中的重要标准MPEG-2以及传输流复用器的原理和系统结构,并且从理论上阐述了复用器设计的关键技术:PSI重组和PCR调整。接着详细说明了如何运用创新思路,采用独特的硬件架构在一片FPGA上实现整个复用器的软件和硬件系统的方案,并且举例说明了复用器硬件逻辑设计中所运用的几个FPGA设计技巧。最后对本文进行总结,并提出了数字电视系统中复用器设备未来发展的设想。本文中介绍的基于SOPC的硬件复用器设计方案,将系统的软件和硬件集成在一款Altera公司新推出的低成本高密度cyclone系列FPGA上,并且将FPGA设计技巧运用于复用器的硬件逻辑设计中。整个设计方案不但简化了系统设计,而且实现了稳定,高速,低成本,可扩展性强的复用器系统。

    标签: FPGA 传输流 复用器 建模

    上传时间: 2013-06-02

    上传用户:gtzj

  • 基于FPGA的DAB信道编码器输入接口的设计与实现

    电台广播在我们的社会生活中占有重要的地位。随着我国广播事业的发展,对我国广播业开发技术、信号的传输质量和速度提出了更高更新的要求,促使广播科研人员不断更新现有技术,以满足人民群众日益增长的需求。 本论文主要分析了现行广播发射台的数字广播激励器输入接口的不足之处,根据欧洲ETS300799标准,实现了一种激励器输入接口的解决方案,这种方案将复接器送来的ETI(NA,G704)格式的码流转换成符合ETS300799标准ETI(NI)的标准码流,并送往后面的信道编码器。ETI(NA,G704)格式与现行的ETI(NI,G703)格式相比,主要加入了交织和RS纠错编码,使得信号抗干扰能力大大加强,提高了节目从演播室到发射台的传输质量,特别是实时直播节目要求信号质量比较好时具有更大的作用。 本论文利用校验位为奇数个的RS码,对可检不可纠的错误发出报警信号,通过其它方法替代原有信号,对音质影响不大,节省了纠正这个错误的资源和开发成本。 同时,我们采用FPGA硬件开发平台和VHDL硬件描述语言编写代码实现硬件功能,而不采用专用芯片实现功能,使得修改电路和升级变得异常方便,大大提高了开发产品的效率,降低了成本。 经过软件仿真和硬件验证,本系统已经基本实现了预想的功能,扩展性较好,硬件资源开销较小,具有实用价值。

    标签: FPGA DAB 信道 编码器

    上传时间: 2013-07-14

    上传用户:afeiafei309

  • TS流复用器及其接口

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: TS流 复用器 接口

    上传时间: 2013-06-10

    上传用户:01010101

  • 有线电视系统前端设备复用器原代码。 用语接受卫星信号

    有线电视系统前端设备复用器原代码。 用语接受卫星信号,转换打包成数字 信号下传给机顶盒。

    标签: 有线电视系统 复用器 代码 卫星信号

    上传时间: 2014-01-01

    上传用户:trepb001