虫虫首页|资源下载|资源专辑|精品软件
登录|注册

  • H264间预测算法研究与FPGA设计.rar

    随着数字化技术的飞速发展,数字视频信号的传输技术更是受到人们的关注。相比较其它类型的信息传输如文本和数据,视频通信需要占用更多的带宽资源,因此为了实现在带宽受限的条件下的传输,视频源必须经过大量压缩。尽管现在的网络状况不断地改善,但相对与快速增长的视频业务而言,网络带宽资源仍然是远远不够的。2003年3月,新一代视频压缩标准H.264/AVC的推出,使视频压缩研究进入了一个新的层次。H.264标准中包含了很多先进的视频压缩编码方法,与以前的视频编码标准相比具有明显的进步。在相同视觉感知质量的情况下,H.264的编码效率比H.263提高了一倍左右,并且有更好的网络友好性。然而,高编码压缩率是以很高的计算复杂度为代价的,H.264标准的计算复杂度约为H.263的3倍,所以在实际应用中必须对其算法进行优化以减低其计算复杂度。 @@ 本文首先介绍了H.264标准的研究背景,分析了国内外H.264硬件系统的研究现状,并介绍了本文的主要工作。 @@ 接着对H.264编码标准的理论知识、关键技术分别进行了介绍。 @@ 对H.264块匹配运动估计算法进行研究,对经典的块匹配运动估计算法通过对比分析,三步、二维等算法在搜索效率上优于全搜索算法,而全搜索算法在数据流的规则性和均匀性有着自己的优越性。 @@ 针对块匹配运动估计全搜索算法的VLSI结构的特点,提出改进的块匹配运动估计全搜索算法。本文基于对数据流的分析,对硬件寻址进行了研究。通过一次完整的全搜索数据流分析,改进的块匹配运动估计算法在时钟周期、PE资源消耗方面得到优化。 @@ 最后基于FPGA平台对整像素运动估计模块进行了研究。首先对运动估计模块结构进行了功能子模块划分;然后对每个子模块进行设计和仿真和对整个运动估计模块进行联合仿真验证。 @@关键词:H.264;FPGA;QuartusⅡ;间预测;运动估计;块匹配

    标签: H264 FPGA 间预测

    上传时间: 2013-04-24

    上传用户:zttztt2005

  • 基于CCSDS标准的同步算法研究及其FPGA实现.rar

    随着航天技术的发展,载人飞船、空间站等复杂航天器对空-地或空-空之间数据传输速率的要求越来越高。在此情况下,为了提高空间通信中数据传输的可靠性,保证接收端分路系统能和发送端一致,必须要经过同步。对卫星基带信号处理来说,同步是处理的第一步也是关键的一步。只有正确同步才能获取正确的数据进行数据处理。因此,同步的效率,将直接影响到整个卫星基带信号处理的结果。 @@ 本设计在研究CCSDS标准及同步算法的基础上,利用硬件描述语言及ISE9.2i开发平台在基于FPGA的硬件平台上设计并实现了单路数据输入及两路合路数据输入的同步算法,并解决了其中可能存在的滑动及模糊度问题。在此基础之上,针对两路合路输入时可能存在的两路输入不同步或滑动在两路中分布不均匀问题,设计实现了两路并行同步算法,并利用ModelSim SE 6.1f工具对上述算法进行了前仿真和后仿真,仿真结果表明上述算法符合设计要求。 @@ 本论文首先介绍了课题研究的背景及国内外研究现状,其次介绍了与本课题相关的基础理论及系统的软硬件结构。然后对单路数据输入同步、两路数据合路输入同步和两路并行同步算法的具体设计及实现过程进行了详细说明,并给出了后仿真结果及结果分析。最后,对论文工作进行了总结和展望,分析了其中存在的问题及需要改进的地方。 @@关键词 FPGA;CCSDS;同步:模糊度;滑动

    标签: CCSDS FPGA 标准

    上传时间: 2013-06-11

    上传用户:liglechongchong

  • H.264内预测算法优化及几个重要模块的FPGA实现.rar

    H.264作为新一代视频编码标准,相比上一代视频编码标准MPEG2,在相同画质下,平均节约64﹪的码流。该标准仅设定了码流的语法结构和解码器结构,实现灵活性极大,其规定了三个档次,每个档次支持一组特定的编码功能,并支持一类特定的应用,因此。H.264的编码器的设计可以根据需求的不同而不同。 H.264虽然具有优异的压缩性能,但是其复杂度却比一般编码器高的多。本文对H.264进行了编码复杂度分析,并统计了整个软件编码中计算量的分布。H.264中采用了率失真优化算法,提高了内预测编码的效率。在该算法下进行内预测时,为了得到一个宏块的预测模式,需要进行592次率失真代价计算。因此为了降低内预测模式选择的计算复杂度,本文改进了内预测模式选择算法。实践证明,在PSNR值的损失可以忽略不计的情况下,该算法相比原算法,内编码时间平均节约60﹪以上,对编码的实时性有较大帮助。 为了实现实时编码,考虑到FPGA的高效运算速度和使用灵活性,本文还研究了H.264编码器基本档次的FPGA实现。首先研究了H.264编码器硬件实现架构,并对影响编码速度,且具有硬件实现优越性的几个重要部分进行了算法研究和FPGA.实现。本文主要研究了H.264编码器中整数DCT变换、量化、Zig-Zag扫描、CAVLC编码以及反量化、逆整数DCT变换等部分。分别对这些模块进行了综合和时序仿真,并将验证后通过的系统模块下载到Xilinx virtex-Ⅱ Pro的FPGA中,进行了在线测试,验证了该系统对输入的残差数据实时压缩编码的功能。 本文对H.264编码器内预测模式选择算法的改进,算法实现简单,对软件编码的实时性有很大帮助。本文对在单片FPGA上实现H.264编码器做出了探索性尝试,这对H.264编码器芯片的设计有着积极的借鉴性。

    标签: FPGA 264 内预测

    上传时间: 2013-06-13

    上传用户:夜月十二桥

  • 视频格式转换算法研究及FPGA实现——去隔行、频转换、分辨率变换.rar

    在当今的广播系统中,绝大部分的视频信号是隔行采样的。采用这种扫描格式,能够大幅度地减少视频的带宽,但也会引起彩色爬行、画面闪烁、边缘模糊及锯齿等现象。这种缺陷经人尺寸屏幕放大后就更加明显。为改善画面的视觉效果,去隔行技术应运而生。同时,视频信号本身的低频也会导致行抖动、线爬行以及大面积闪烁等视觉效果上的缺陷。增加扫描频率会把这些视觉缺陷搬移到人眼不敏感的高频区域上去从而产生较好的主观图象质量。而为了适应不同显示终端以及对图像大小变化的要求就必须对原始信号分辨率即每行数和每行像素数进行变换。因此去隔行、频转换、分辨率变换成为视频格式转换的基本内容。 FPGA 的出现是VLSI技术和EDA技术发展的结果。FPGA器件集成度高、体积小,具有通过用户编程实现专门应用的功能。它允许电路设计者利用基于计算机的开发平台,经过设计输入、仿真、测试和校验,直到达到预期的结果。使用FPGA器件可以大大缩短系统的研制周期,减少资金投入。另外采用FPGA器件可以将原来的电路板级产品集成芯片级产品,从而降低了功耗,提高了可靠性,同时还可以很方便的对设计进行在线修改。 该文在介绍了视频格式转换中的主要算法后,重点对去隔行、频转换、分辨率变换的FPGA综合实现方案进行了由简单到复杂的深入研究,分别给出了最简解决方案、基于非线性算法的解决方案和基于运动补偿的解决方案。最简解决方案利用线性算法将去隔行,频转换,分辨率变换三项处理同时实现,达到FPGA内部资源和外部RAM耗用量都为最小的要求,是后续复杂方案的基础。其中去隔行采用场合并方式,频转换采用重复方式,分辨率变换采用均匀插值方式。基于非线性算法的解决方案中加入了对静止区域的判断,静止区域的输出像素值直接选用相应位置的已存输入数据,非静止区域的输出像素值通过对已存输入数据进行非线性运算得出。基于运动补偿的解决方案在对静止区域进行判断和处理的基础上,对欲生成的变频后的场间插值进行运动估计,根据运动矢量得出非静止区域的输出像素值。其中为求得输入场间相应时间位置上的插值输出数据,该方案采用了自定义的前后向块匹配运动估计方式,通过对三步搜索算法的高效实现,将SAD 值进行比较得出运动矢量。

    标签: FPGA 视频格式转换 算法研究

    上传时间: 2013-07-19

    上传用户:米卡

  • 利用FPGA设计和实现点对点EoS的成

    通信领域的主导技术有两种:用于内部商业通信的局域网(LAN)中的以太网(Ethernet)和广域网(WAN)中的SDH(SynchronousDigitalHierarchy)。因为在SDH网络上不直接支持以太网,当企业(客户)间需要彼此通信或企业(客户)内需要将其总部与分部连至同一LAN网时互连问题便应运而生。 该研究课题的目的是研究在EoS(EthernetoverSDH)实现过程中存在的技术难题和协议实现的复杂性,提出一种简单、快速、高效的协议实现方法。主要关注的是EoS系统中与协议映射相关的关键技术,例如:自定义结构、定位、全数字锁相技术、流量控制技术等,最终完成EoS中这些关键技术模块的设计。 该课题简单分析EoS系统相关协议结构及EoS系统的原理,阐述了FPGA技术的实现方法,重点在于利用业界最先进的EDA工具实现EoS系统中映射技术。系统中采用一种简化了的点对点实现方案,对以太网的数据直接进行HDLC格式封装,采用多通道的E1信道承载完整的HIDLC方式将HDLC映射到E1信道中,然后采用单通道承载多个完整的E1方式将E1映射到SDH信道中,从而把以太网有效地映射到SDH的负荷中,实现“透明的局域网服务”。这对在现有的SDH传输设备上承载以太网,开发实现以太网的广域连接设备,将会具有重要的意义。

    标签: FPGA EoS 点对点

    上传时间: 2013-04-24

    上传用户:bugtamor

  • H.264内预测算法优化及几个重要模块的FPGA实现

    H.264作为新一代视频编码标准,相比上一代视频编码标准MPEG2,在相同画质下,平均节约64﹪的码流。该标准仅设定了码流的语法结构和解码器结构,实现灵活性极大,其规定了三个档次,每个档次支持一组特定的编码功能,并支持一类特定的应用,因此。H.264的编码器的设计可以根据需求的不同而不同。 H.264虽然具有优异的压缩性能,但是其复杂度却比一般编码器高的多。本文对H.264进行了编码复杂度分析,并统计了整个软件编码中计算量的分布。H.264中采用了率失真优化算法,提高了内预测编码的效率。在该算法下进行内预测时,为了得到一个宏块的预测模式,需要进行592次率失真代价计算。因此为了降低内预测模式选择的计算复杂度,本文改进了内预测模式选择算法。实践证明,在PSNR值的损失可以忽略不计的情况下,该算法相比原算法,内编码时间平均节约60﹪以上,对编码的实时性有较大帮助。 为了实现实时编码,考虑到FPGA的高效运算速度和使用灵活性,本文还研究了H.264编码器基本档次的FPGA实现。首先研究了H.264编码器硬件实现架构,并对影响编码速度,且具有硬件实现优越性的几个重要部分进行了算法研究和FPGA.实现。本文主要研究了H.264编码器中整数DCT变换、量化、Zig-Zag扫描、CAVLC编码以及反量化、逆整数DCT变换等部分。分别对这些模块进行了综合和时序仿真,并将验证后通过的系统模块下载到Xilinx virtex-Ⅱ Pro的FPGA中,进行了在线测试,验证了该系统对输入的残差数据实时压缩编码的功能。 本文对H.264编码器内预测模式选择算法的改进,算法实现简单,对软件编码的实时性有很大帮助。本文对在单片FPGA上实现H.264编码器做出了探索性尝试,这对H.264编码器芯片的设计有着积极的借鉴性。

    标签: FPGA 264 内预测 算法优化

    上传时间: 2013-05-25

    上传用户:refent

  • OFDM系统检测及同步算法FPGA设计与实现

    正交频分复用(OFDM)技术是一种多载波数字调制技术,它具有频谱利用率高、抗多径能力强等特点,在宽带无线多媒体通信领域中受到了广泛的关注。 OFDM系统可分为连续工作模式和突发工作模式。在IEEE802.11a、HiperLANType2等无线局域网标准中采用了OFDM的突发工作模式,该模式下的接收机首先对符合某种特定格式的做出检测。本文介绍了一种基于最小错误概率准则的检测算法,提出了该算法的FPGA实现方案。 同步技术是OFDM最关键的技术之一,它包括载波频率同步和符号同步。载波频率同步是为了纠正接收端相对于发送端的载波频率偏移,以保证子载波间的正交性;符号同步确定OFDM符号有用数据信息的开始时刻,也就是确定FFT窗的开始时刻。本文首先介绍了一种基于自相关的载波频率同步算法,给出了它的FPGA实现方案,重点讲述了其中用到的Cordic算法及其实现;然后介绍了分别基于互相关和自相关的两种符号同步算法,给出了各自的FPGA实现方案,从实现的角度比较了两种算法的优缺点,并且在FPGA设计中体现了面积复用和流水线操作的设计思想。 文章最后介绍了系统调试的情况,总结出一种ChipScopePro与Matlab相结合的调试方法,该方法在FPGA调试方面具有一定的通用性。

    标签: OFDM FPGA

    上传时间: 2013-07-15

    上传用户:Killerboo

  • H264视频编码器内预测系统设计

    H.264视频编解码标准以其高压缩比、高图像质量、良好的网络适应性等优点在数字电视广播、网络视频流媒体传输、视频实时通信等许多方面得到了广泛应用。提高H.264内预测的速度,对于实时性要求较高的场合具有重大的意义。为此,论文在总结国内外相关研究的基础上,针对H.264内预测的软件实现具有运算量大、实时性差等缺点,提出了一种基于FPGA的高并行、多流水线结构的内预测算法的硬件实现。    论文在详细阐述H.264内预测编码技术的基础上,分析了17种预测模式算法,通过Matlab仿真建模,直观地给出了预测模式的预测效果,并在JM12.2官方验证平台上测试比较各种预测模式对编码性能的影响,以此为根据对内预测模式进行裁剪。接着论文提出了基于FPGA的内预测系统的设计方案,将前段采集剑的RGB图像通过色度转换模块转换成YCbCr图像,存入片外SDRAM中,控制模块负责读写数掘送入内预测模块进行处理。内预测模块中,采用一种并行结构的可配置处理单元,即先求和再移位最后限幅的电路结构,来计算各预测模式下的预测值,极大地减小了预测电路的复杂度。针对预测模式选择算法,论文采用多模式并行运算的方法,即多个结构相同的残差计算模块,同时计算各种预测模式对应的SATD值,充分发挥FPGA高速并行处理的能力。其中Hadamard变换使用行列分离的变换方法,采用蝶形快速变换、流水线设计提高硬件的工作效率。最后,论文设计了LCD显示模块直观地显示所得到的最佳预测模式。    整个内预测系统被划分成多个功能模块,采用层次化、模块化的设计思想,并采用流水线结构和乒乓操作来提高系统的并行性、运行速度和总线利用率。所有模块用Verilog语言设计,由Modelsim仿真和集成开发环境ISE9.1综合。仿真与综合结果表明,系统时钟频率最高达到106.7MHz。该设计在完成功能的基础上,能够较好地满足实时性要求。论文对于研究基于FPGA的H.264视频压缩编码系统进行了有益的探索,具有一定的实用价值。

    标签: H264 视频编码器 内预测 系统设计

    上传时间: 2013-07-21

    上传用户:ABCD_ABCD

  • 基于FPGA技术的HDLC收发器

    基于FPGA技术的HDLC收发器的设计与实现

    标签: FPGA HDLC 收发器

    上传时间: 2013-05-24

    上传用户:lindor

  • H264视频内解码器的FPGA实现

    H.264是2003年5月正式颁布的视频压缩标准,它采用了大量最新的视频编码技术,压缩效率和灵活性方面比先前的标准有了很大的提高,广泛应用在多媒体传输、存储等领域。   本文研究反量化、反变换以及内预测等H.264内...

    标签: H264 FPGA 视频

    上传时间: 2013-04-24

    上传用户:hooooor