虫虫首页|资源下载|资源专辑|精品软件
登录|注册

Various

  • 采用TÜV认证的FPGA开发功能安全系统

    This white paper discusses how market trends, the need for increased productivity, and new legislation have accelerated the use of safety systems in industrial machinery. This TÜV-qualified FPGA design methodology is changing the paradigms of safety designs and will greatly reduce development effort, system complexity, and time to market. This allows FPGA users to design their own customized safety controllers and provides a significant competitive advantage over traditional microcontroller or ASIC-based designs. Introduction The basic motivation of deploying functional safety systems is to ensure safe operation as well as safe behavior in cases of failure. Examples of functional safety systems include train brakes, proximity sensors for hazardous areas around machines such as fast-moving robots, and distributed control systems in process automation equipment such as those used in petrochemical plants. The International Electrotechnical Commission’s standard, IEC 61508: “Functional safety of electrical/electronic/programmable electronic safety-related systems,” is understood as the standard for designing safety systems for electrical, electronic, and programmable electronic (E/E/PE) equipment. This standard was developed in the mid-1980s and has been revised several times to cover the technical advances in Various industries. In addition, derivative standards have been developed for specific markets and applications that prescribe the particular requirements on functional safety systems in these industry applications. Example applications include process automation (IEC 61511), machine automation (IEC 62061), transportation (railway EN 50128), medical (IEC 62304), automotive (ISO 26262), power generation, distribution, and transportation. 图Figure 1. Local Safety System

    标签: FPGA 安全系统

    上传时间: 2013-11-14

    上传用户:zoudejile

  • XAPP143-利用Verilog来创建CPLD设计

    This Application Note covers the basics of how to use Verilog as applied to ComplexProgrammable Logic Devices. Various combinational logic circuit examples, such asmultiplexers, decoders, encoders, comparators and adders are provided. Synchronous logiccircuit examples, such as counters and state machines are also provided.

    标签: Verilog XAPP CPLD 143

    上传时间: 2013-11-11

    上传用户:y13567890

  • xilinx FPGAs在工业中的应用

      The revolution of automation on factory floors is a key driver for the seemingly insatiable demand for higher productivity, lower total cost of ownership,and high safety. As a result, industrial applications drive an insatiable demand of higher data bandwidth and higher system-level performance.   This white paper describes the trends and challenges seen by designers and how FPGAs enable solutions to meet their stringent design goals.

    标签: xilinx FPGAs 工业 中的应用

    上传时间: 2013-11-08

    上传用户:yan2267246

  • AN26与LTC1090的接口设计

    A collection of interface applications between Various microprocessors/ controllers and the LTC1090 family of data acquisition systems. The note is divided into sections specific to each interface.

    标签: 1090 LTC AN 26

    上传时间: 2013-11-08

    上传用户:sssnaxie

  • OFELI is an object oriented library of C++ classes for development of finite element codes. Its main

    OFELI is an object oriented library of C++ classes for development of finite element codes. Its main features are : * Various storage schemes of matrices (dense, sparse, skyline). * Direct methods of solution of linear systems of equations as well as Various combinations of iterative solvers and preconditioners. * Shape functions of most "popular" finite elements * Element arrays of most popular problems (Heat Transfer, Fluid Flow, Solid Mechanics, Electromagnetics, ...).

    标签: development oriented classes element

    上传时间: 2015-03-03

    上传用户:kbnswdifs

  • HawkVoiceDI Project created and maintained by Phil Frisbie, Jr. <phil@hawksoft.com> HawkVoiceD

    HawkVoiceDI Project created and maintained by Phil Frisbie, Jr. <phil@hawksoft.com> HawkVoiceDI has been tested on the following platforms: Win32 (9x, ME, NT 4.0, 2000, XP, CE) Linux (Various flavors) Contributors (code, ideas, comments, motivation, etc.):

    标签: HawkVoiceDI HawkVoiceD maintained hawksoft

    上传时间: 2014-01-10

    上传用户:thesk123

  • This code can be used to model a microstrip line or a microstrip patch antenna (the particular prob

    This code can be used to model a microstrip line or a microstrip patch antenna (the particular problem being modeled is determined at compile-time via Various declarations).

    标签: microstrip particular antenna model

    上传时间: 2013-12-31

    上传用户:wpt

  • CBC下写的串口编程

    CBC下写的串口编程,API函数实例 I wish this site had been around when I was trying to figure out how to make serial communications work in Windows95. I, like many programmers, was hit with the double-whammy of having to learn Windows programming and Win95 serial comm programming at the same time. I found both tasks confusing at best. It was particularly frustrating because I had, over the years, written so much stuff (including lots of serial comm software) for the DOS environment and numerous embedded applications. Interrupt driven serial comm, DMA transfer serial comm, TSR serial comm, C, assembler, Various processors... you name it, it had written it. Yet, everything I knew seemed upside-down in the message-driven-callback world of Windows.

    标签: CBC 串口编程

    上传时间: 2014-06-20

    上传用户:cccole0605

  • This project is created using the Keil ARM CA Compiler. The Logic Analyzer built into the simula

    This project is created using the Keil ARM CA Compiler. The Logic Analyzer built into the simulator may be used to monitor and display any variable or peripheral I/O register. It is already configured to show the PWM output signal on PORT3.0 and PORT3.1 This ARM Example may be debugged using only the uVision Simulator and your PC--no additional hardware or evaluation boards are required. The Simulator provides cycle-accurate simulation of all on-chip peripherals of the ADuC7000 device series. You may create Various input signals like digital pulses, sine waves, sawtooth waves, and square waves using signal functions which you write in C. Signal functions run in the background in the simulator within timing constraints you configure. In this example, several signal functions are defined in the included Startup_SIM.INI file.

    标签: the Analyzer Compiler project

    上传时间: 2013-12-19

    上传用户:Yukiseop

  • This m file models a DPSK UWB system using a delay in one leg of the mixer, correlation receiver low

    This m file models a DPSK UWB system using a delay in one leg of the mixer, correlation receiver low pass filter combination requiring no template for synching. Various waveforms are displayed throughout the system to allow the user to observe operation of the system.

    标签: correlation receiver models system

    上传时间: 2013-12-13

    上传用户:semi1981