虫虫首页|资源下载|资源专辑|精品软件
登录|注册

Shifter

  • -- Title : Barrel Shifter (Pure combinational) -- This VHDL design file is an open design you can r

    -- Title : Barrel Shifter (Pure combinational) -- This VHDL design file is an open design you can redistribute it and/or -- modify it and/or implement it after contacting the author -- You can check the draft license at

    标签: design combinational Shifter Barrel

    上传时间: 2014-12-21

    上传用户:784533221

  • Top module name : Shifter (File name : Shifter.v) 2. Input pins: SHIFT [3:0], IN [15:0], SIGN, RIGH

    Top module name : Shifter (File name : Shifter.v) 2. Input pins: SHIFT [3:0], IN [15:0], SIGN, RIGHT. 3. Output pins: OUT [15:0]. 4. Input signals generated from test pattern are latched in one cycle and are synchronized at clock rising edge. 5. The SHIFT signal describes the shift number. The shift range is 0 to 15. 6. When the signal RIGHT is high, it shifts input data to right. On the other hand, it shifts input data to left. 7. When the signal SIGN is high, the input data is a signed number and it shifts with sign extension. However, the input data is an unsigned number if the signal SIGN is low. 8. You can only use following gates in Table I and need to include the delay information (Tplh, Tphl) in your design.

    标签: Shifter name module Input

    上传时间: 2013-12-12

    上传用户:himbly

  • Top module name : Shifter (File name : Shifter.v) 2. Input pins: SHIFT [3:0], IN [15:0], SIGN, RIGH

    Top module name : Shifter (File name : Shifter.v) 2. Input pins: SHIFT [3:0], IN [15:0], SIGN, RIGHT. 3. Output pins: OUT [15:0]. 4. Input signals generated from test pattern are latched in one cycle and are synchronized at clock rising edge. 5. The SHIFT signal describes the shift number. The shift range is 0 to 15. 6. When the signal RIGHT is high, it shifts input data to right. On the other hand, it shifts input data to left. 7. When the signal SIGN is high, the input data is a signed number and it shifts with sign extension. However, the input data is an unsigned number if the signal SIGN is low. 8. You can only use following gates in Table I and need to include the delay information (Tplh, Tphl) in your design.

    标签: Shifter name module Input

    上传时间: 2014-01-19

    上传用户:三人用菜

  • Log Shifter Gate Level Design using Verilog(IC design Lab) and Lab Note

    Log Shifter Gate Level Design using Verilog(IC design Lab) and Lab Note

    标签: Lab Shifter Verilog Design

    上传时间: 2016-12-01

    上传用户:cylnpy

  • this module performs the task of a barrel-Shifter 16 or 32 bits

    this module performs the task of a barrel-Shifter 16 or 32 bits

    标签: barrel-Shifter performs module this

    上传时间: 2017-04-25

    上传用户:nanxia

  • jhonson counter using Shifter

    jhonson counter using Shifter

    标签: jhonson counter Shifter using

    上传时间: 2014-09-02

    上传用户:努力努力再努力

  • 移位运算器Shifter 使用Verilog HDL 语言编写

    移位运算器Shifter 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制,显示在数码管LED8 上 D[7..0]是移位数据输入,由键2 和1 控制,显示在数码管2 和1 上 QB[7..0]是移位数据输出,显示在数码管6 和5 上:cn 是移位数据输出进位,显示在数码管7 上。

    标签: Shifter Verilog HDL 移位

    上传时间: 2014-01-16

    上传用户:wys0120

  • right Shifter using vhdl,

    right Shifter using vhdl,

    标签: Shifter right using vhdl

    上传时间: 2014-01-20

    上传用户:lijianyu172

  • SPCE061A单片机硬件结构

    SPCE061A单片机硬件结构 从第一章中SPCE061A的结构图可以看出SPCE061A的结构比较简单,在芯片内部集成了ICE仿真电路接口、FLASH程序存储器、SRAM数据存储器、通用IO端口、定时器计数器、中断控制、CPU时钟、模-数转换器AD、DAC输出、通用异步串行输入输出接口、串行输入输出接口、低电压监测低电压复位等若干部分。各个部分之间存在着直接或间接的联系,在本章中我们将详细的介绍每个部分结构及应用。2.1 μ’nSP™的内核结构μ’nSP™的内核如0所示其结构。它由总线、算术逻辑运算单元、寄存器组、中断系统及堆栈等部分组成,右边文字为各部分简要说明。算术逻辑运算单元ALUμ’nSP™的ALU在运算能力上很有特色,它不仅能做16位基本的算术逻辑运算,也能做带移位操作的16位算术逻辑运算,同时还能做用于数字信号处理的16位×16位的乘法运算和内积运算。1. 16位算术逻辑运算不失一般性,μ’nSP™与大多数CPU类似,提供了基本的算术运算与逻辑操作指令,加、减、比较、取补、异或、或、与、测试、写入、读出等16位算术逻辑运算及数据传送操作。2. 带移位操作的16位算逻运算对图2.1稍加留意,就会发现μ’nSP™的ALU前面串接有一个移位器Shifter,也就是说,操作数在经过ALU的算逻操作前可先进行移位处理,然后再经ALU完成算逻运算操作。移位包括:算术右移、逻辑左移、逻辑右移、循环左移以及循环右移。所以,μ’nSP™的指令系统里专有一组复合式的‘移位算逻操作’指令;此一条指令完成移位和算术逻辑操作两项功能。程序设计者可利用这些复合式的指令,撰写更精简的程序代码,进而增加程序代码密集度 (Code Density)。在微控制器应用中,如何增加程序代码密集度是非常重要的议题;提高程序代码密集度意味着:减少程序代码的大小,进而减少ROM或FLASH的需求,以此降低系统成本与增加执行效能。

    标签: SPCE 061A 061 单片机

    上传时间: 2013-10-10

    上传用户:星仔

  • The objective of this projectis to design, model and simulate an autocorrelation generator circuit

    The objective of this projectis to design, model and simulate an autocorrelation generator circuit using 4-bit LFSR. the register and LFSR will used D flip-flop and some gates. By the autocorrelation concept, there should be 2 same length vectors, for calculating the autocorrelation , we have to design the register for storing the original vector and the Shifter for make time delay.

    标签: autocorrelation objective generator projectis

    上传时间: 2015-08-16

    上传用户:ikemada