虫虫首页|资源下载|资源专辑|精品软件
登录|注册

OFDM

OFDM(OrthogonalFrequencyDivisionMultiplexing)即正交频分复用技术,实际上OFDM是MCM(MultiCarrierModulation),多载波调制的一种。通过频分复用实现高速串行数据的并行传输,它具有较好的抗多径衰落的能力,能够支持多用户接入。[1]
  • OFDM系统的定时和频率同步的实现

    正交频分复用技术(OFDM)是未来宽带无线通信中的关键技术。随着用户对实时多媒体业务,高速移动业务需求的迅速增加,OFDM由于其频谱效率高,抗多径效应能力强,抗干扰性能好等特点,该技术正得到了广泛的应用。 OFDM系统的子载波之间必须保持严格的正交性,因此对符号定时和载波频偏非常敏感。本课题的主要任务是分析各种算法的性能的优劣,选取合适的算法进行FPGA的实现。 本文首先简要介绍了无线信道的传输特性和OFDM系统的基本原理,进而对符号同步和载波同步对接收信号的影响做了分析。然后对比了非数据辅助式同步算法和数据辅助式同步算法的不同特点,决定采用数据辅助式同步算法来解决基于IEEE 802.16-2004协议的突发传输系统的同步问题。最后部分进行了算法的实现和仿真,所有实现的仿真均在QuartusⅡ下按照IEEE 802.16-2004协议的符号和前导字的结构进行。 本文的主要工作:(1)采用自相关和互相关联合检测算法同时完成帧到达检测和符号同步估计,只用接收数据的符号位做相关运算,有效地解决了判决门限需要变化的问题,同时也减少了资源的消耗;(2)在时域分数倍频偏估计时,利用基于流水线结构的Cordic模块计算长前导字共轭相乘后的相角,求出分数倍频偏的估计值;(3)采用滑动窗口相关求和的方法估计整数倍频偏值,在此只用频域数据的符号位做相关运算,有效地解决了传统算法估计速度慢的缺点,同时也减少了资源的消耗。

    标签: OFDM 定时 同步的

    上传时间: 2013-05-23

    上传用户:宋桃子

  • 数字音频广播中OFDM调制的研究与实现

    正交频分复用(OFDM)是一种无线环境下的高速传输技术,它使用一系列低速子载波并行传输数据,具有抗多径干扰的能力、能以很高的频谱利用率实现高速数据传输等优点。数字音频广播(DAB)系统中采用OFDM调制技术。 本文首先概述了OF'DM的基本原理和实现方法,分析了DAB中不同模式下OFDM调制的参数和特点。实现OFDM的核心技术是快速傅立叶变换(FFT)。本文在分析研究了多种FFT算法的基础上选择了最适合FPGA实现的,满足DAB系统中OFDM调制要求的FFT算法,即将2048点FFT分解为基-4和基-2混合基算法。 本文研究重点是使用FPGA实现2048点复数FFT处理器。2048点FFT由五级基-4运算和一级基-2运算组成。针对这一算法以及FPGA特点,进行系统结构设计、各个模块设计、FPGA实现和测试。一个基-4和基-2复用的蝶形运算模块是整个FFT处理器的核心部分。此外系统还包括:系统控制模块,地址产生模块,RAM和ROM。本文特别针对2048点按频率抽取基-4/2顺序处理的FFT处理器提出了一种巧妙的数据地址和旋转因子地址生成的方法。 仿真和验证表明,运算的结果可以达到一定的精度要求,运算速度满足系统要求,说明该OFDM调制器的设计是可行的,可以应用于DAB系统中

    标签: OFDM 数字音频广播 调制

    上传时间: 2013-06-04

    上传用户:star_in_rain

  • 基于FPGA全数字OFDM收发信机

    正交频分复用(OFDM,Orthogonal Frequency Division Multiplexing)技术作为一种可以有效对抗信号波形间干扰的高速传输技术,引起了广泛关注。它利用许多并行的、传输低速率数据的子载波来实现高速率的通信。它的特点是各子载波相互正交,所以扩频调制后的频谱可以相互重叠,不但减小了子载波问的相互干扰,还大大提高了频谱利用率。由于OFDM的高频谱利用率、易于硬件实现、对抗频率选择性衰落和窄带干扰的能力突出等优点,它成为第四代移动通信的首选技术,是当前移动通信技术研究的热点问题。 本文概括的介绍了OFDM系统的基本概念、基本工作原理和关键技术,重点讨论了如何在FPGA上实现OFDM低中频收发信机。基于这些理论知识,确定了OFDM低中频收发信机系统实现方案,并选择ALTERA公司的Cyclone

    标签: FPGA OFDM 全数字 收发信机

    上传时间: 2013-06-28

    上传用户:水瓶kmoon5

  • OFDM发射机系统的FPGA设计

    无线局域网是计算机网络技术和无线通信技术相结合的产物,是利用无线媒介传输信息的计算机网络。在无线通信信道中,由于多径时延不可避免地存在符号间干扰,正交频分复用(OFDM)作为一种可以有效对抗符号间干扰(ISI)和提高频谱利用率的高速传输技术,引起了广泛关注。在无线局域网(WLAN)系统中,OFDM调制技术已经被采用作为其物理层标准,并且公认为是下一代无线通信系统中的核心技术。基于IEEE802.11a的无线局域网标准的物理层采用了OFDM技术,能有效的对抗多径信道衰落,达到54Mbps的速度,而未来而的IEEE802.11n将达到100Mbps的高速。因此,研发以OFDM为核心的原型机研究非常有必要。 本文在深入理解OFDM技术的同时,结合相应的EDA工具对系统进行建模并基于IEEE802.11a物理层标准给出了一种OFDM基带发射机系统的FPGA实现方案。整个设计采用目前主流的自顶向下的设计方法,由总体设计至详细设计逐步细化。在系统功能模块的FPGA实现过程中,针对Xilinx一款160万门的Spartan-3E XCS1600E芯片,依照:IEEE802.11a帧格式,对发射机系统各个模块进行了详细设计和仿真: (1)训练序列生成模块,包括长,短训练序列; (2)信令模块,包括卷积编码,交织,BPSK调制映射; (3)数据模块,包括加扰,卷积编码,删余,交织,BPSK/QPSK/16QAM/64QAM调制映射; (4)OFDM处理部分,包括导频插入,加循环前缀,IFFT处理; (5)对整个发射处理部分联调,并给出仿真结果另外,还完成了接收机部分模块的FPGA设计,并给出了相应的顶层结构与仿真波形。最后提出了改进和进一步开发的方向。

    标签: OFDM FPGA 发射机

    上传时间: 2013-04-24

    上传用户:李彦东

  • 基于可重配置的OFDM基带系统的FPGA设计

    1992年5月,JoeMitola首次明确提出了软件无线电的概念。软件无线电将模块化、标准化的硬件单元连接构成硬件平台,通过软件加载实现各种无线通信功能。端到端重配置技术是在软件无线电的基础上发展起来的,该技术使通信系统不仅具有重配置的能力,还能提供一体化的重配置管理架构,实现联合无线资源管理和网络规划。端到端重配置技术已经成为软件无线电的发展趋势。 宽带无线接入(BWA,BroadbandWirelessAccess)是当前通信界研究的热点之一,而WiMax和WiFi是BWA中最热门的两个技术,所以本文选择了IEEE802.16-2004与IEEE802.11a,设计了基于其物理层标准的可重配置OFDM基带系统。它们均采用正交频分复用技术(OFDM,OrthogonalFrequencyDivisionMultiplexing)。 本文研究了IEEE802.16-2004与IEEE802.11a物理层标准,结合Altera公司提供的FPGA开发工具QuartusⅡ、Mentor公司仿真工具ModelsimSE6.0,完成了基于IEEE802.16-2004及IEEE802.11a的可重配置OFDM基带系统的FPGA设计。该设计中,对FPGA进行重新配置,实现了802.16-2004与802.11a两种技术的完全重配置;通过选择不同的参数来调用不同子模块,实现802.16-2004与802.11a内部不同调制技术的局部重配置。该可重配置基带系统核心的FFT/IFFT。模块采用基4按频率抽取及Cordic算法,消除乘法运算,有利于FPGA实现;在802.16-2004系统中,选取了基于前导序列的符号同步算法,在FPGA中实现。最后使用开发软件、综合软件以及仿真软件分析了系统的性能并给出了系统的性能指标。

    标签: OFDM FPGA 可重配置 基带系统

    上传时间: 2013-05-19

    上传用户:branblackson

  • OFDM在中压电力线通信中的应用

    论文讨论了中压电力线载波通信(MV-PLC)的现状和应用前景,介绍了其技术特点和所面临的问题。针对当前中压电力线载波芯片的开发状况,提出了基于OFDM(正交频分复用)技术的中压电力线载波通信的技术优势和其Modem芯片开发的重要性。 针对国内中压电网的结构,根据现有的研究成果,分析了中压电力线信道的传输特性,包括阻抗特性,噪声特性和衰减特性。阐述了OFDM的基本原理、优缺点和其中的关键技术,分析了OFDM系统组成模型及参数选取原则。针对中压电力线信道噪声特点,提出了基于OFDM的中压电力线载波Modem芯片的FPGA(现场可编程门阵列)实现方案,并建立了系统MATLAB定点仿真模型。通过分析定点仿真结果,给出了该OFDM系统的设计参数,并详细介绍了系统中部分模块(主要包括IFFT/FFT模块、数字上变频模块和同步模块)的FPGA实现结构(用Verilog硬件描述语言设计),并对这些模块进行了功能验证。 最后,搭建仿真平台,对整个系统进行了前端EDA仿真验证。利用低压电力线环境,对所设计的系统进行了FPGA板级的调试,并对测试的结果进行了分析。验证了系统的FPGA设计,并提出了MV-PLC OFDM系统中存在一些问题及系统需要改进之处。

    标签: OFDM 中压 电力线通信 中的应用

    上传时间: 2013-04-24

    上传用户:yezhihao

  • 基于FPGA的OFDM基带系统研究

    近几年来,OFDM技术引起了人们的广泛注意,根据这项新技术,很多相关协议被提出来。其中WiMax代表空中接口满足IEEE802.16标准的宽带无线通信系统,IEEE标准在2004年定义了空中接口的物理层(PHY),即802.16d协议。该协议规定数据传输采用突发模式,调制方式采用OFDM技术,传输速率较高且实现方便、成本低廉,已经成为首先推广应用的商业化标准。本文对IEEE802.16d OFDM系统物理层进行了研究,并在XILINX公司的Virtexpro II芯片上实现了基带算法。    ⑴探讨了OFDM基本原理及其关键技术。根据IEEE802.16d OFDM系统的物理层发送端流程搭建了基带仿真链路,利用MATLAB/SIMULINK仿真了OFDM系统在有无循环前缀(CP)、多径数目不同等情况下的性能变化。由于同步算法和信道估计算法计算量都很大,为了找到适合采用FPGA实现的算法,分析了同步误差和不同信道估计算法对接收信号的影响,并结合计算量的大小提出了一种新的联合同步算法,以及得出了LS信道估计算法最适合802.16d系统的结论。    ⑵完成了基带发射机和接收机的FPGA硬件电路实现。为了使系统的时钟频率更高,采用了流水线的结构。设计中采用编写Verilog程序和使用IP核相结合的办法,实现了新的联合同步算法,并且通过简化结构,避免了信道估计算法中的繁琐除法。利用ISE9.2i和Modelsim6.Oc软件平台对程序进行设计、综合和仿真,并将仿真结果和MATLAB软件计算结果相对比。结果表明,采用16位数据总线可达到理想的精度。    ⑶采用串口通信的方式对基带系统进行了验证。通过串口通信从功能上表明该系统确实可行。

    标签: FPGA OFDM 基带 系统研究

    上传时间: 2013-04-24

    上传用户:zhangyigenius

  • fpga实现OFDM的源代码

    fpga实现OFDM的源代码 并且配有各个部分的详细说明,具有很好的指导作用

    标签: fpga OFDM 源代码

    上传时间: 2013-08-07

    上传用户:chendawei

  • OFDM基带调制系统在FPGA上的实现

    OFDM基带调制系统在FPGA上的实现,供数字信号处理专业参考

    标签: OFDM FPGA 基带 调制系统

    上传时间: 2013-08-14

    上传用户:baiom

  • OFDM基带调制系统在FPGA上的实现

    OFDM基带调制系统在FPGA上的实现,适合通信专业的人参考设计

    标签: OFDM FPGA 基带 调制系统

    上传时间: 2013-08-14

    上传用户:qlpqlq