虫虫首页|资源下载|资源专辑|精品软件
登录|注册

华为云

华为云成立于2005年,隶属于华为公司[1],专注于云计算中公有云领域的技术研究与生态拓展,致力于为用户提供一站式云计算基础设施服务。
  • 华为GTM900模块典型电路

    华为GSM/GPRSGTM900模块典型应用电路

    标签: GTM 900 华为 典型

    上传时间: 2013-07-16

    上传用户:dgann

  • 华为verilog编码规范

    华为的verilog编码规范,写得很不错!我就是用这个规范,需要的下载看看吧,免积分咯!~

    标签: verilog 华为 编码规范

    上传时间: 2013-04-24

    上传用户:chongcongying

  • 华为设计FPGA的高级教程

    华为设计FPGA的高级教程,对有一定基础者有很大帮助

    标签: FPGA 华为 教程

    上传时间: 2013-08-06

    上传用户:超凡大师

  • 华为内部的FPGA设计培训教程

    华为内部的FPGA设计培训教程,详细阐述了设计流程图、Verilog HDL设计、逻辑仿真、逻辑综合。对大家的学习一定有帮助的。

    标签: FPGA 华为 培训教程

    上传时间: 2013-08-08

    上传用户:q123321

  • 华为的FPGA设计的规范

    华为的FPGA设计的规范,其中包括TESTBENGH等的书写规范

    标签: FPGA 华为

    上传时间: 2013-08-13

    上传用户:gxmm

  • 华为的FPGA和Verilog的教程

    华为的FPGA和Verilog的教程,我相信对大家的用处是毋庸置疑的。

    标签: Verilog FPGA 华为 教程

    上传时间: 2013-08-13

    上传用户:manlian

  • 华为FPGA设计高级技巧 Xilinx篇

    华为 FPGA 设计高级技巧 Xilinx 篇,涉及 FPGA 综合、时序优化、编码风格

    标签: Xilinx FPGA 华为 高级技巧

    上传时间: 2013-08-13

    上传用户:wivai

  • 华为FPGA设计流程指南

    华为FPGA设计流程指南:本部门所承担的FPGA设计任务主要是两方面的作用:系统的原型实现和ASIC的原型验证。编写本流程的目的是:在于规范整个设计流程,实现开发的合理性、一致性、高效性。形成风格良好和完整的文档。实现在FPGA不同厂家之间以及从FPGA到ASIC的顺利移植。便于新员工快速掌握本部门FPGA的设计流程。\r\n

    标签: FPGA 华为 设计流程

    上传时间: 2013-08-22

    上传用户:shengyj12345

  • 华为内部资料

    华为内部资料,关于FPGA设计的详细过程介绍,很不错的。本文档从FPGA器件结构出发以速度路径延时大小和面积资源占用率为主题描述在FPGA设计过程中应当注意的问题和可以采用的设计技巧。

    标签: 华为

    上传时间: 2013-08-22

    上传用户:kangqiaoyibie

  • 【华为内部】Proteus教程【精华】

    华为内部的proteus教程。十分详细PDF格式!

    标签: Proteus 华为 教程

    上传时间: 2013-10-07

    上传用户:浩子GG