代码搜索结果
找到约 10,970 项符合
VHDL 的代码
readme.txt
File/Directory Description
=============================================================================
\doc DDR SDRAM reference design documentation
\model Contains the vhdl SDRAM mode
ddr_sdram.prj
#-- Synplicity, Inc.
#-- Version 6.0
#-- Project file D:\projects\altera\lpcores\ddr\release\VHDL\V1_0\synthesis\synplicity\ddr_sdram.prj
#-- Written on Fri Jun 30 17:01:13 2000
#add_file opti
ddr_sdram.tlg
Synthesizing work.ddr_sdram.rtl
@W:"d:\projects\altera\lpcores\ddr\release\vhdl\v1_0\source\ddr_sdram.vhd":131:14:131:16|Port direction mismatch between component and entity
Synthesizing work.pll1.s
vhdl.txt
伪随机序列发生器的vhdl算法
设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)。
补充 - 11个月前
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_
userlang.tpl
[Verilog.User Templates]
type=folder
[VHDL.User Templates]
type=folder
[ABEL.User Templates]
type=folder
three-vhdl.txt
分频的VHDL程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY Odd_Fren is
port(Clk : in std_logic;
O : out std_logic);
end Odd_Fren;
architecture bev
hdllib.ref
AR vmeter behavioral F:/Gradute/PLD/VHDL/Vmeter/vmeter.vhd sub00/vhpl01 1229146842
EN vmeter NULL F:/Gradute/PLD/VHDL/Vmeter/vmeter.vhd sub00/vhpl00 1229146841
hdpdeps.ref
V3 3
FL F:/Gradute/PLD/VHDL/Vmeter/vmeter.vhd 2008/12/13.13:40:38 I.31
EN work/vmeter 1229146841 FL F:/Gradute/PLD/VHDL/Vmeter/vmeter.vhd \
PB ieee/std_logic_1164 1147274808 PB ieee/
vmeter.prj
vhdl work "vmeter.vhd"
transcript
# Reading D:/Modeltech_pe_edu_6.4a/tcl/vsim/pref.tcl
# OpenFile E:/a-study/course/vhdl-verilog/vhdl/vhdl-project/arbiter/transcript