📄 adder_tp.v
字号:
`timescale 1ns/1nsmodule adder_tp;reg [3:0] a,b;reg cin;wire [3:0] sum;wire cout;integer i,j;adder4 adder(.sum(sum),.cout(cout),.ina(a),.inb(b),.cin(cin));always #5 cin=~cin;initial begin a=0;b=0;cin=0; for(i=1;i<16;i=i+1) #10 a=i;endinitial begin for(j=1;j<16;j=j+1) #10 b=i; endinitial begin $monitor($time,"::%d+%d+%d={%b,%d}",a,b,cin,cout,sum); #160 $finish;endendmodule
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -