⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 sec_counter.v

📁 verilog代码集锦.rar
💻 V
字号:
module sec_counter(clock,reset,load,din,count);      input clock,reset,load;      input[5:0]din;      output [5:0]count;      reg [5:0]count;      always@(posedge clock or posedge reset)begin            if(reset) count<=0;            else begin               if(load)count<=din;               else count<=(count==59)?0:(count+1);            end            endendmodule`timescale 1ns/1nsmodule sec_counter_tb; reg clock,reset,load; reg[5:0]din; wire[5:0]count;  always # 1 clock=~clock; sec_counter c1(.clock(clock),.reset(reset),.load(load),.din(din),.count(count)); initial begin    clock=0;    reset=0;    load=0;    din=6'd8;    #4 reset=1;    #4 reset=0;    #4 load=1;    #4 load=0;    $monitor("At time%t,count=%b",$time,count);    #1000$stop;  end  endmodule    

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -