⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 counta3d5_tb.v

📁 verilog代码集锦.rar
💻 V
字号:
module counta3d5_tb;    reg rst,clk,up,dn;    reg [7:0]din;    wire[7:0]dout;    wire par,carry,borrow;        initial din=8'b11010011;    always #1 clk=~clk;        initial begin    	clk=0;    	rst=1;    	up=0;dn=0;    	#2 rst=0;    	#2 rst=1;    	#4 dn=1;    	#200 dn=0;up=1;    	$monitor("At time%t,dout=%b,par=%b,carry=%b,borrow=%b",$time,dout,par,carry,borrow);    	#500$stop;    end    counta3d5 h1(.rst(rst), .clk(clk), .up(up), .dn(dn), .din(din), .dout(dout), .par(par), .carry(carry), .borrow(borrow));  endmodule            

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -