⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 maoci.vhd

📁 用VHDL编的一个程序
💻 VHD
字号:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity maoci is
       Port (clk13,clk100:in std_logic;
             clk_out:out std_logic      
             );
end maoci;

    
architecture Behavioral of maoci is   
signal clk13_dly:std_logic;
begin

process(clk100)
begin 
if(clk100'event and clk100='1') then
	clk13_dly<=clk13;
	if(clk13='1' and clk13_dly='1') then
	  clk_out<='1';
	elsif(clk13='0' and clk13_dly='0') then
	  clk_out<='0';
	end if;
end if;
end process;

end Behavioral;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -