⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 sys_tb.v

📁 verilog hdl经典例程
💻 V
字号:
`timescale 1ns/100psmodule Top;reg D_out,SEND,ESC,DSC,TAKE,ADD_100,ADD_101;reg [7:0] data_buf;wire [7:0] data;wire clk2;//wire clk2;assign data=(ADD_101)?data_buf:8'bz;assign clk2=DSC&&TAKE;initialbeginSEND=0;ESC=0;DSC=1;TAKE=1;ADD_100=1;ADD_101=1;endinitialbegindata_buf=8'b10000001;#90 ADD_100=0;#100 ADD_100=1;endalwaysbegin#50;SEND=~SEND;ESC=~ESC;endinitialbegin#1500;SEND=0;ESC=0;DSC=1;TAKE=1;ADD_100=1;ADD_101=1;D_out=0;#1150 ADD_101=0;#100 ADD_101=1;#100 $stop;endalwaysbegin#50;DSC=~DSC;TAKE=~TAKE;endalways @(negedge clk2) D_out=~D_out;sys sys(.D_in(D_in),.T0(T0),.data(data),.D_out(D_out),.ADD_101(ADD_101),.SEND(SEND),.ESC(ESC),.DSC(DSC),.TAKE(TAKE),.ADD_100(ADD_100));endmodule 

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -